OpenCores
URL https://opencores.org/ocsvn/sgmii/sgmii/trunk

Subversion Repositories sgmii

[/] [sgmii/] [trunk/] [sim/] [BFMs/] [SGMII_altera/] [testbench/] [model/] [top_mdio_slave.v] - Blame information for rev 20

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 jefflieu
// -------------------------------------------------------------------------
2
// -------------------------------------------------------------------------
3
//
4
// Revision Control Information
5
//
6
// $RCSfile: $
7
// $Source: $
8
//
9
// $Revision: #1 $
10 20 jefflieu
// $Date: 2012/06/21 $
11
// Check in by : $Author: swbranch $
12 9 jefflieu
// Author      : SKNg/TTChong
13
//
14
// Project     : Triple Speed Ethernet - 10/100/1000 MAC
15
//
16
// Description : (Simulation only)
17
//
18
// MDIO Slave model
19
// Instantiates mdio_slave (mdio_slave.v) and mdio_reg_sim (mdio_reg.v)
20
// 
21
// ALTERA Confidential and Proprietary
22
// Copyright 2006 (c) Altera Corporation
23
// All rights reserved
24
//
25
// -------------------------------------------------------------------------
26
// -------------------------------------------------------------------------
27
 
28
`timescale 1 ns / 10 ps
29
//`include "common_header.verilog" 
30
 
31
module top_mdio_slave (reset,
32
   mdc,
33
   mdio,
34
   dev_addr,
35
   conf_done);
36
input   reset;
37
input   mdc;
38
inout   mdio;
39
input   [4:0] dev_addr;
40
output   conf_done;
41
wire    VHDL2V_mdio;
42
wire    mdio;
43
wire    conf_done;
44
wire    [4:0] reg_addr; //  Address register
45
wire    reg_read; //  Read register         
46
wire    reg_write; //  Write register         
47
wire    [15:0] reg_dout; //  Data Bus OUT
48
wire    [15:0] reg_din; //  Data Bus IN 
49
 
50
mdio_slave mdio_c (.reset(reset),
51
          .mdc(mdc),
52
          .mdio(mdio),
53
          .dev_addr(dev_addr),
54
          .reg_addr(reg_addr),
55
          .reg_read(reg_read),
56
          .reg_write(reg_write),
57
          .reg_dout(reg_din),
58
          .reg_din(reg_dout));
59
mdio_reg_sim reg_c (.reset(reset),
60
          .clk(mdc),
61
          .reg_addr(reg_addr),
62
          .reg_write(reg_write),
63
          .reg_read(reg_read),
64
          .reg_dout(reg_dout),
65
          .reg_din(reg_din),
66
          .conf_done(conf_done));
67
assign mdio = VHDL2V_mdio;
68
 
69
endmodule // module top_mdio_slave
70
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.