OpenCores
URL https://opencores.org/ocsvn/sgmii/sgmii/trunk

Subversion Repositories sgmii

[/] [sgmii/] [trunk/] [sim/] [BFMs/] [SGMII_altera/] [triple_speed_ethernet-library/] [altera_tse_alt2gxb_gige.v] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 jefflieu
// megafunction wizard: %ALT2GXB%
2
// GENERATION: STANDARD
3
// VERSION: WM1.0
4
// MODULE: alt2gxb 
5
 
6
// ============================================================
7
// File Name: altera_tse_alt2gxb_gige.v
8
// Megafunction Name(s):
9
//                      alt2gxb
10
//
11
// Simulation Library Files(s):
12
//                      
13
// ============================================================
14
// ************************************************************
15
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
//
17
// 11.0 Internal Build 138 03/15/2011 PN Full Version
18
// ************************************************************
19
 
20
 
21
//Copyright (C) 1991-2011 Altera Corporation
22
//Your use of Altera Corporation's design tools, logic functions 
23
//and other software and tools, and its AMPP partner logic 
24
//functions, and any output files from any of the foregoing 
25
//(including device programming or simulation files), and any 
26
//associated documentation or information are expressly subject 
27
//to the terms and conditions of the Altera Program License 
28
//Subscription Agreement, Altera MegaCore Function License 
29
//Agreement, or other applicable license agreement, including, 
30
//without limitation, that your use is for the sole purpose of 
31
//programming logic devices manufactured by Altera and sold by 
32
//Altera or its authorized distributors.  Please refer to the 
33
//applicable agreement for further details.
34
 
35
 
36
// synopsys translate_off
37
`timescale 1 ps / 1 ps
38
// synopsys translate_on
39
module altera_tse_alt2gxb_gige (
40
        cal_blk_clk,
41
        gxb_powerdown,
42
        pll_inclk,
43
        reconfig_clk,
44
        reconfig_togxb,
45
        rx_analogreset,
46
        rx_cruclk,
47
        rx_datain,
48
        rx_digitalreset,
49
        rx_seriallpbken,
50
        tx_ctrlenable,
51
        tx_datain,
52
        tx_digitalreset,
53
        pll_locked,
54
        reconfig_fromgxb,
55
        rx_clkout,
56
        rx_ctrldetect,
57
        rx_dataout,
58
        rx_disperr,
59
        rx_errdetect,
60
        rx_freqlocked,
61
        rx_patterndetect,
62
        rx_recovclkout,
63
        rx_rlv,
64
        rx_rmfifodatadeleted,
65
        rx_rmfifodatainserted,
66
        rx_runningdisp,
67
        rx_syncstatus,
68
        tx_clkout,
69
        tx_dataout);
70
 
71
        input     cal_blk_clk;
72
        input   [0:0]  gxb_powerdown;
73
        input     pll_inclk;
74
        input     reconfig_clk;
75
        input   [2:0]  reconfig_togxb;
76
        input   [0:0]  rx_analogreset;
77
        input   [0:0]  rx_cruclk;
78
        input   [0:0]  rx_datain;
79
        input   [0:0]  rx_digitalreset;
80
        input   [0:0]  rx_seriallpbken;
81
        input   [0:0]  tx_ctrlenable;
82
        input   [7:0]  tx_datain;
83
        input   [0:0]  tx_digitalreset;
84
        output  [0:0]  pll_locked;
85
        output  [0:0]  reconfig_fromgxb;
86
        output    rx_clkout;
87
        output  [0:0]  rx_ctrldetect;
88
        output  [7:0]  rx_dataout;
89
        output  [0:0]  rx_disperr;
90
        output  [0:0]  rx_errdetect;
91
        output  [0:0]  rx_freqlocked;
92
        output  [0:0]  rx_patterndetect;
93
        output  [0:0]  rx_recovclkout;
94
        output  [0:0]  rx_rlv;
95
        output  [0:0]  rx_rmfifodatadeleted;
96
        output  [0:0]  rx_rmfifodatainserted;
97
        output  [0:0]  rx_runningdisp;
98
        output  [0:0]  rx_syncstatus;
99
        output  [0:0]  tx_clkout;
100
        output  [0:0]  tx_dataout;
101
`ifndef ALTERA_RESERVED_QIS
102
// synopsys translate_off
103
`endif
104
        tri0    [0:0]  rx_cruclk;
105
`ifndef ALTERA_RESERVED_QIS
106
// synopsys translate_on
107
`endif
108
 
109
        parameter               starting_channel_number = 0;
110
    // Please this parameter and the section that use it when this module is regenerated
111
    parameter       ENABLE_ALT_RECONFIG     = 1;
112
 
113
        wire [0:0] sub_wire0;
114
        wire [0:0] sub_wire1;
115
        wire [0:0] sub_wire2;
116
        wire [0:0] sub_wire3;
117
        wire [0:0] sub_wire4;
118
        wire [0:0] sub_wire5;
119
        wire [0:0] sub_wire6;
120
        wire [0:0] sub_wire7;
121
        wire  sub_wire8;
122
        wire [7:0] sub_wire9;
123
        wire [0:0] sub_wire10;
124
        wire [0:0] sub_wire11;
125
        wire [0:0] sub_wire12;
126
        wire [0:0] sub_wire13;
127
        wire [0:0] sub_wire14;
128
        wire [0:0] sub_wire15;
129
        wire [0:0] sub_wire16;
130
        wire [0:0] rx_patterndetect = sub_wire0[0:0];
131
        wire [0:0] pll_locked = sub_wire1[0:0];
132
        wire [0:0] reconfig_fromgxb = sub_wire2[0:0];
133
        wire [0:0] rx_freqlocked = sub_wire3[0:0];
134
        wire [0:0] rx_disperr = sub_wire4[0:0];
135
        wire [0:0] rx_recovclkout = sub_wire5[0:0];
136
        wire [0:0] rx_runningdisp = sub_wire6[0:0];
137
        wire [0:0] rx_syncstatus = sub_wire7[0:0];
138
        wire  rx_clkout = sub_wire8;
139
        wire [7:0] rx_dataout = sub_wire9[7:0];
140
        wire [0:0] rx_errdetect = sub_wire10[0:0];
141
        wire [0:0] rx_rmfifodatainserted = sub_wire11[0:0];
142
        wire [0:0] rx_rlv = sub_wire12[0:0];
143
        wire [0:0] rx_rmfifodatadeleted = sub_wire13[0:0];
144
        wire [0:0] tx_clkout = sub_wire14[0:0];
145
        wire [0:0] tx_dataout = sub_wire15[0:0];
146
        wire [0:0] rx_ctrldetect = sub_wire16[0:0];
147
 
148
        alt2gxb alt2gxb_component (
149
                                .pll_inclk (pll_inclk),
150
                                .reconfig_togxb (reconfig_togxb),
151
                                .cal_blk_clk (cal_blk_clk),
152
                                .rx_datain (rx_datain),
153
                                .rx_digitalreset (rx_digitalreset),
154
                                .tx_datain (tx_datain),
155
                                .tx_digitalreset (tx_digitalreset),
156
                                .gxb_powerdown (gxb_powerdown),
157
                                .rx_cruclk (rx_cruclk),
158
                                .rx_seriallpbken (rx_seriallpbken),
159
                                .reconfig_clk (reconfig_clk),
160
                                .rx_analogreset (rx_analogreset),
161
                                .tx_ctrlenable (tx_ctrlenable),
162
                                .rx_patterndetect (sub_wire0),
163
                                .pll_locked (sub_wire1),
164
                                .reconfig_fromgxb (sub_wire2),
165
                                .rx_freqlocked (sub_wire3),
166
                                .rx_disperr (sub_wire4),
167
                                .rx_recovclkout (sub_wire5),
168
                                .rx_runningdisp (sub_wire6),
169
                                .rx_syncstatus (sub_wire7),
170
                                .rx_clkout (sub_wire8),
171
                                .rx_dataout (sub_wire9),
172
                                .rx_errdetect (sub_wire10),
173
                                .rx_rmfifodatainserted (sub_wire11),
174
                                .rx_rlv (sub_wire12),
175
                                .rx_rmfifodatadeleted (sub_wire13),
176
                                .tx_clkout (sub_wire14),
177
                                .tx_dataout (sub_wire15),
178
                                .rx_ctrldetect (sub_wire16)
179
                                // synopsys translate_off
180
                                ,
181
                                .aeq_fromgxb (),
182
                                .aeq_togxb (),
183
                                .cal_blk_calibrationstatus (),
184
                                .cal_blk_powerdown (),
185
                                .coreclkout (),
186
                                .debug_rx_phase_comp_fifo_error (),
187
                                .debug_tx_phase_comp_fifo_error (),
188
                                .fixedclk (),
189
                                .gxb_enable (),
190
                                .pipe8b10binvpolarity (),
191
                                .pipedatavalid (),
192
                                .pipeelecidle (),
193
                                .pipephydonestatus (),
194
                                .pipestatus (),
195
                                .pll_inclk_alt (),
196
                                .pll_inclk_rx_cruclk (),
197
                                .pll_locked_alt (),
198
                                .powerdn (),
199
                                .reconfig_fromgxb_oe (),
200
                                .rx_a1a2size (),
201
                                .rx_a1a2sizeout (),
202
                                .rx_a1detect (),
203
                                .rx_a2detect (),
204
                                .rx_bistdone (),
205
                                .rx_bisterr (),
206
                                .rx_bitslip (),
207
                                .rx_byteorderalignstatus (),
208
                                .rx_channelaligned (),
209
                                .rx_coreclk (),
210
                                .rx_cruclk_alt (),
211
                                .rx_dataoutfull (),
212
                                .rx_enabyteord (),
213
                                .rx_enapatternalign (),
214
                                .rx_invpolarity (),
215
                                .rx_k1detect (),
216
                                .rx_k2detect (),
217
                                .rx_locktodata (),
218
                                .rx_locktorefclk (),
219
                                .rx_phfifooverflow (),
220
                                .rx_phfifordenable (),
221
                                .rx_phfiforeset (),
222
                                .rx_phfifounderflow (),
223
                                .rx_phfifowrdisable (),
224
                                .rx_pll_locked (),
225
                                .rx_powerdown (),
226
                                .rx_revbitorderwa (),
227
                                .rx_revbyteorderwa (),
228
                                .rx_rmfifoalmostempty (),
229
                                .rx_rmfifoalmostfull (),
230
                                .rx_rmfifoempty (),
231
                                .rx_rmfifofull (),
232
                                .rx_rmfifordena (),
233
                                .rx_rmfiforeset (),
234
                                .rx_rmfifowrena (),
235
                                .rx_signaldetect (),
236
                                .tx_coreclk (),
237
                                .tx_datainfull (),
238
                                .tx_detectrxloop (),
239
                                .tx_dispval (),
240
                                .tx_forcedisp (),
241
                                .tx_forcedispcompliance (),
242
                                .tx_forceelecidle (),
243
                                .tx_invpolarity (),
244
                                .tx_phfifooverflow (),
245
                                .tx_phfiforeset (),
246
                                .tx_phfifounderflow (),
247
                                .tx_revparallellpbken ()
248
                                // synopsys translate_on
249
                                );
250
        defparam
251
                alt2gxb_component.starting_channel_number = starting_channel_number,
252
                alt2gxb_component.cmu_pll_inclock_period = 8000,
253
                alt2gxb_component.cmu_pll_loop_filter_resistor_control = 3,
254
                alt2gxb_component.digitalreset_port_width = 1,
255
                alt2gxb_component.en_local_clk_div_ctrl = "true",
256
                alt2gxb_component.equalizer_ctrl_a_setting = 0,
257
                alt2gxb_component.equalizer_ctrl_b_setting = 0,
258
                alt2gxb_component.equalizer_ctrl_c_setting = 0,
259
                alt2gxb_component.equalizer_ctrl_d_setting = 0,
260
                alt2gxb_component.equalizer_ctrl_v_setting = 0,
261
                alt2gxb_component.equalizer_dcgain_setting = 0,
262
                alt2gxb_component.gen_reconfig_pll = "false",
263
                alt2gxb_component.intended_device_family = "Stratix II GX",
264
                alt2gxb_component.loopback_mode = "slb",
265
                alt2gxb_component.lpm_type = "alt2gxb",
266
                alt2gxb_component.number_of_channels = 1,
267
                alt2gxb_component.operation_mode = "duplex",
268
                alt2gxb_component.pll_legal_multiplier_list = "disable_4_5_mult_above_3125",
269
                alt2gxb_component.preemphasis_ctrl_1stposttap_setting = 0,
270
                alt2gxb_component.preemphasis_ctrl_2ndposttap_inv_setting = "false",
271
                alt2gxb_component.preemphasis_ctrl_2ndposttap_setting = 0,
272
                alt2gxb_component.preemphasis_ctrl_pretap_inv_setting = "false",
273
                alt2gxb_component.preemphasis_ctrl_pretap_setting = 0,
274
                alt2gxb_component.protocol = "gige",
275
                alt2gxb_component.receiver_termination = "oct_100_ohms",
276
                alt2gxb_component.reconfig_dprio_mode = ENABLE_ALT_RECONFIG,
277
                alt2gxb_component.reverse_loopback_mode = "none",
278
                alt2gxb_component.rx_8b_10b_compatibility_mode = "true",
279
                alt2gxb_component.rx_8b_10b_mode = "normal",
280
                alt2gxb_component.rx_align_pattern = "0101111100",
281
                alt2gxb_component.rx_align_pattern_length = 10,
282
                alt2gxb_component.rx_allow_align_polarity_inversion = "false",
283
                alt2gxb_component.rx_allow_pipe_polarity_inversion = "false",
284
                alt2gxb_component.rx_bandwidth_mode = 1,
285
                alt2gxb_component.rx_bitslip_enable = "false",
286
                alt2gxb_component.rx_byte_ordering_mode = "none",
287
                alt2gxb_component.rx_channel_width = 8,
288
                alt2gxb_component.rx_common_mode = "0.9v",
289
                alt2gxb_component.rx_cru_inclock_period = 8000,
290
                alt2gxb_component.rx_cru_pre_divide_by = 1,
291
                alt2gxb_component.rx_datapath_protocol = "basic",
292
                alt2gxb_component.rx_data_rate = 1250,
293
                alt2gxb_component.rx_data_rate_remainder = 0,
294
                alt2gxb_component.rx_disable_auto_idle_insertion = "true",
295
                alt2gxb_component.rx_enable_bit_reversal = "false",
296
                alt2gxb_component.rx_enable_lock_to_data_sig = "false",
297
                alt2gxb_component.rx_enable_lock_to_refclk_sig = "false",
298
                alt2gxb_component.rx_enable_self_test_mode = "false",
299
                alt2gxb_component.rx_enable_true_complement_match_in_word_align = "false",
300
                alt2gxb_component.rx_force_signal_detect = "true",
301
                alt2gxb_component.rx_ppmselect = 32,
302
                alt2gxb_component.rx_rate_match_back_to_back = "true",
303
                alt2gxb_component.rx_rate_match_fifo_mode = "normal",
304
                alt2gxb_component.rx_rate_match_fifo_mode_manual_control = "normal",
305
                alt2gxb_component.rx_rate_match_ordered_set_based = "true",
306
                alt2gxb_component.rx_rate_match_pattern1 = "10100010010101111100",
307
                alt2gxb_component.rx_rate_match_pattern2 = "10101011011010000011",
308
                alt2gxb_component.rx_rate_match_pattern_size = 20,
309
                alt2gxb_component.rx_rate_match_skip_set_based = "true",
310
                alt2gxb_component.rx_run_length = 5,
311
                alt2gxb_component.rx_run_length_enable = "true",
312
                alt2gxb_component.rx_signal_detect_threshold = 2,
313
                alt2gxb_component.rx_use_align_state_machine = "true",
314
                alt2gxb_component.rx_use_clkout = "true",
315
                alt2gxb_component.rx_use_coreclk = "false",
316
                alt2gxb_component.rx_use_cruclk = "true",
317
                alt2gxb_component.rx_use_deserializer_double_data_mode = "false",
318
                alt2gxb_component.rx_use_deskew_fifo = "false",
319
                alt2gxb_component.rx_use_double_data_mode = "false",
320
                alt2gxb_component.rx_use_rate_match_pattern1_only = "false",
321
                alt2gxb_component.transmitter_termination = "oct_100_ohms",
322
                alt2gxb_component.tx_8b_10b_compatibility_mode = "true",
323
                alt2gxb_component.tx_8b_10b_mode = "normal",
324
                alt2gxb_component.tx_allow_polarity_inversion = "false",
325
                alt2gxb_component.tx_analog_power = "1.5v",
326
                alt2gxb_component.tx_channel_width = 8,
327
                alt2gxb_component.tx_common_mode = "0.6v",
328
                alt2gxb_component.tx_data_rate = 1250,
329
                alt2gxb_component.tx_data_rate_remainder = 0,
330
                alt2gxb_component.tx_enable_bit_reversal = "false",
331
                alt2gxb_component.tx_enable_idle_selection = "true",
332
                alt2gxb_component.tx_enable_self_test_mode = "false",
333
                alt2gxb_component.tx_refclk_divide_by = 1,
334
                alt2gxb_component.tx_transmit_protocol = "basic",
335
                alt2gxb_component.tx_use_coreclk = "false",
336
                alt2gxb_component.tx_use_double_data_mode = "false",
337
                alt2gxb_component.tx_use_serializer_double_data_mode = "false",
338
                alt2gxb_component.use_calibration_block = "true",
339
                alt2gxb_component.vod_ctrl_setting = 3;
340
 
341
 
342
endmodule
343
 
344
// ============================================================
345
// CNX file retrieval info
346
// ============================================================
347
// Retrieval info: PRIVATE: ALT_SIMLIB_GEN STRING "0"
348
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Stratix II GX"
349
// Retrieval info: PRIVATE: NUM_KEYS NUMERIC "74"
350
// Retrieval info: PRIVATE: RECONFIG_PROTOCOL STRING "BASIC"
351
// Retrieval info: PRIVATE: RECONFIG_SUBPROTOCOL STRING "none"
352
// Retrieval info: PRIVATE: RX_ENABLE_DC_COUPLING STRING "false"
353
// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
354
// Retrieval info: PRIVATE: WIZ_DATA_RATE STRING "1250"
355
// Retrieval info: PRIVATE: WIZ_DPRIO_INCLK_FREQ_ARRAY STRING "50.0 62.5 78.125 100.0 125.0 156.25 250.0 312.5 500.0"
356
// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_A STRING "2500"
357
// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_A_UNIT STRING "Mbps"
358
// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_B STRING "50.0"
359
// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_B_UNIT STRING "MHz"
360
// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_SELECTION NUMERIC "0"
361
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK0_FREQ STRING "125"
362
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK0_PROTOCOL STRING "GIGE"
363
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK1_FREQ STRING "250"
364
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK1_PROTOCOL STRING "Basic"
365
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK2_FREQ STRING "250"
366
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK2_PROTOCOL STRING "Basic"
367
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK3_FREQ STRING "250"
368
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK3_PROTOCOL STRING "Basic"
369
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK4_FREQ STRING "250"
370
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK4_PROTOCOL STRING "Basic"
371
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK5_FREQ STRING "250"
372
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK5_PROTOCOL STRING "Basic"
373
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK6_FREQ STRING "250"
374
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK6_PROTOCOL STRING "Basic"
375
// Retrieval info: PRIVATE: WIZ_ENABLE_EQUALIZER_CTRL NUMERIC "0"
376
// Retrieval info: PRIVATE: WIZ_EQUALIZER_CTRL_SETTING NUMERIC "0"
377
// Retrieval info: PRIVATE: WIZ_FORCE_DEFAULT_SETTINGS NUMERIC "0"
378
// Retrieval info: PRIVATE: WIZ_INCLK_FREQ STRING "125"
379
// Retrieval info: PRIVATE: WIZ_INCLK_FREQ_ARRAY STRING "62.5 125"
380
// Retrieval info: PRIVATE: WIZ_INPUT_A STRING "1250"
381
// Retrieval info: PRIVATE: WIZ_INPUT_A_UNIT STRING "Mbps"
382
// Retrieval info: PRIVATE: WIZ_INPUT_B STRING "125"
383
// Retrieval info: PRIVATE: WIZ_INPUT_B_UNIT STRING "MHz"
384
// Retrieval info: PRIVATE: WIZ_INPUT_SELECTION NUMERIC "0"
385
// Retrieval info: PRIVATE: WIZ_PROTOCOL STRING "GIGE"
386
// Retrieval info: PRIVATE: WIZ_SUBPROTOCOL STRING "GIGE-Enhanced"
387
// Retrieval info: PRIVATE: WIZ_WORD_ALIGN_FLIP_PATTERN STRING "0"
388
// Retrieval info: PARAMETER: STARTING_CHANNEL_NUMBER NUMERIC "0"
389
// Retrieval info: CONSTANT: CMU_PLL_INCLOCK_PERIOD NUMERIC "8000"
390
// Retrieval info: CONSTANT: CMU_PLL_LOOP_FILTER_RESISTOR_CONTROL NUMERIC "3"
391
// Retrieval info: CONSTANT: DIGITALRESET_PORT_WIDTH NUMERIC "1"
392
// Retrieval info: CONSTANT: EN_LOCAL_CLK_DIV_CTRL STRING "true"
393
// Retrieval info: CONSTANT: EQUALIZER_CTRL_A_SETTING NUMERIC "0"
394
// Retrieval info: CONSTANT: EQUALIZER_CTRL_B_SETTING NUMERIC "0"
395
// Retrieval info: CONSTANT: EQUALIZER_CTRL_C_SETTING NUMERIC "0"
396
// Retrieval info: CONSTANT: EQUALIZER_CTRL_D_SETTING NUMERIC "0"
397
// Retrieval info: CONSTANT: EQUALIZER_CTRL_V_SETTING NUMERIC "0"
398
// Retrieval info: CONSTANT: EQUALIZER_DCGAIN_SETTING NUMERIC "0"
399
// Retrieval info: CONSTANT: GEN_RECONFIG_PLL STRING "false"
400
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Stratix II GX"
401
// Retrieval info: CONSTANT: LOOPBACK_MODE STRING "slb"
402
// Retrieval info: CONSTANT: LPM_TYPE STRING "alt2gxb"
403
// Retrieval info: CONSTANT: NUMBER_OF_CHANNELS NUMERIC "1"
404
// Retrieval info: CONSTANT: OPERATION_MODE STRING "duplex"
405
// Retrieval info: CONSTANT: PLL_LEGAL_MULTIPLIER_LIST STRING "disable_4_5_mult_above_3125"
406
// Retrieval info: CONSTANT: PREEMPHASIS_CTRL_1STPOSTTAP_SETTING NUMERIC "0"
407
// Retrieval info: CONSTANT: PREEMPHASIS_CTRL_2NDPOSTTAP_INV_SETTING STRING "false"
408
// Retrieval info: CONSTANT: PREEMPHASIS_CTRL_2NDPOSTTAP_SETTING NUMERIC "0"
409
// Retrieval info: CONSTANT: PREEMPHASIS_CTRL_PRETAP_INV_SETTING STRING "false"
410
// Retrieval info: CONSTANT: PREEMPHASIS_CTRL_PRETAP_SETTING NUMERIC "0"
411
// Retrieval info: CONSTANT: PROTOCOL STRING "gige"
412
// Retrieval info: CONSTANT: RECEIVER_TERMINATION STRING "oct_100_ohms"
413
// Retrieval info: CONSTANT: RECONFIG_DPRIO_MODE NUMERIC "1"
414
// Retrieval info: CONSTANT: REVERSE_LOOPBACK_MODE STRING "none"
415
// Retrieval info: CONSTANT: RX_8B_10B_COMPATIBILITY_MODE STRING "true"
416
// Retrieval info: CONSTANT: RX_8B_10B_MODE STRING "normal"
417
// Retrieval info: CONSTANT: RX_ALIGN_PATTERN STRING "0101111100"
418
// Retrieval info: CONSTANT: RX_ALIGN_PATTERN_LENGTH NUMERIC "10"
419
// Retrieval info: CONSTANT: RX_ALLOW_ALIGN_POLARITY_INVERSION STRING "false"
420
// Retrieval info: CONSTANT: RX_ALLOW_PIPE_POLARITY_INVERSION STRING "false"
421
// Retrieval info: CONSTANT: RX_BANDWIDTH_MODE NUMERIC "1"
422
// Retrieval info: CONSTANT: RX_BITSLIP_ENABLE STRING "false"
423
// Retrieval info: CONSTANT: RX_BYTE_ORDERING_MODE STRING "none"
424
// Retrieval info: CONSTANT: RX_CHANNEL_WIDTH NUMERIC "8"
425
// Retrieval info: CONSTANT: RX_COMMON_MODE STRING "0.9v"
426
// Retrieval info: CONSTANT: RX_CRU_INCLOCK_PERIOD NUMERIC "8000"
427
// Retrieval info: CONSTANT: RX_CRU_PRE_DIVIDE_BY NUMERIC "1"
428
// Retrieval info: CONSTANT: RX_DATAPATH_PROTOCOL STRING "basic"
429
// Retrieval info: CONSTANT: RX_DATA_RATE NUMERIC "1250"
430
// Retrieval info: CONSTANT: RX_DATA_RATE_REMAINDER NUMERIC "0"
431
// Retrieval info: CONSTANT: RX_DISABLE_AUTO_IDLE_INSERTION STRING "true"
432
// Retrieval info: CONSTANT: RX_ENABLE_BIT_REVERSAL STRING "false"
433
// Retrieval info: CONSTANT: RX_ENABLE_LOCK_TO_DATA_SIG STRING "false"
434
// Retrieval info: CONSTANT: RX_ENABLE_LOCK_TO_REFCLK_SIG STRING "false"
435
// Retrieval info: CONSTANT: RX_ENABLE_SELF_TEST_MODE STRING "false"
436
// Retrieval info: CONSTANT: RX_ENABLE_TRUE_COMPLEMENT_MATCH_IN_WORD_ALIGN STRING "false"
437
// Retrieval info: CONSTANT: RX_FORCE_SIGNAL_DETECT STRING "true"
438
// Retrieval info: CONSTANT: RX_PPMSELECT NUMERIC "32"
439
// Retrieval info: CONSTANT: RX_RATE_MATCH_BACK_TO_BACK STRING "true"
440
// Retrieval info: CONSTANT: RX_RATE_MATCH_FIFO_MODE STRING "normal"
441
// Retrieval info: CONSTANT: RX_RATE_MATCH_FIFO_MODE_MANUAL_CONTROL STRING "normal"
442
// Retrieval info: CONSTANT: RX_RATE_MATCH_ORDERED_SET_BASED STRING "true"
443
// Retrieval info: CONSTANT: RX_RATE_MATCH_PATTERN1 STRING "10100010010101111100"
444
// Retrieval info: CONSTANT: RX_RATE_MATCH_PATTERN2 STRING "10101011011010000011"
445
// Retrieval info: CONSTANT: RX_RATE_MATCH_PATTERN_SIZE NUMERIC "20"
446
// Retrieval info: CONSTANT: RX_RATE_MATCH_SKIP_SET_BASED STRING "true"
447
// Retrieval info: CONSTANT: RX_RUN_LENGTH NUMERIC "5"
448
// Retrieval info: CONSTANT: RX_RUN_LENGTH_ENABLE STRING "true"
449
// Retrieval info: CONSTANT: RX_SIGNAL_DETECT_THRESHOLD NUMERIC "2"
450
// Retrieval info: CONSTANT: RX_USE_ALIGN_STATE_MACHINE STRING "true"
451
// Retrieval info: CONSTANT: RX_USE_CLKOUT STRING "true"
452
// Retrieval info: CONSTANT: RX_USE_CORECLK STRING "false"
453
// Retrieval info: CONSTANT: RX_USE_CRUCLK STRING "true"
454
// Retrieval info: CONSTANT: RX_USE_DESERIALIZER_DOUBLE_DATA_MODE STRING "false"
455
// Retrieval info: CONSTANT: RX_USE_DESKEW_FIFO STRING "false"
456
// Retrieval info: CONSTANT: RX_USE_DOUBLE_DATA_MODE STRING "false"
457
// Retrieval info: CONSTANT: RX_USE_RATE_MATCH_PATTERN1_ONLY STRING "false"
458
// Retrieval info: CONSTANT: TRANSMITTER_TERMINATION STRING "oct_100_ohms"
459
// Retrieval info: CONSTANT: TX_8B_10B_COMPATIBILITY_MODE STRING "true"
460
// Retrieval info: CONSTANT: TX_8B_10B_MODE STRING "normal"
461
// Retrieval info: CONSTANT: TX_ALLOW_POLARITY_INVERSION STRING "false"
462
// Retrieval info: CONSTANT: TX_ANALOG_POWER STRING "1.5v"
463
// Retrieval info: CONSTANT: TX_CHANNEL_WIDTH NUMERIC "8"
464
// Retrieval info: CONSTANT: TX_COMMON_MODE STRING "0.6v"
465
// Retrieval info: CONSTANT: TX_DATA_RATE NUMERIC "1250"
466
// Retrieval info: CONSTANT: TX_DATA_RATE_REMAINDER NUMERIC "0"
467
// Retrieval info: CONSTANT: TX_ENABLE_BIT_REVERSAL STRING "false"
468
// Retrieval info: CONSTANT: TX_ENABLE_IDLE_SELECTION STRING "true"
469
// Retrieval info: CONSTANT: TX_ENABLE_SELF_TEST_MODE STRING "false"
470
// Retrieval info: CONSTANT: TX_REFCLK_DIVIDE_BY NUMERIC "1"
471
// Retrieval info: CONSTANT: TX_TRANSMIT_PROTOCOL STRING "basic"
472
// Retrieval info: CONSTANT: TX_USE_CORECLK STRING "false"
473
// Retrieval info: CONSTANT: TX_USE_DOUBLE_DATA_MODE STRING "false"
474
// Retrieval info: CONSTANT: TX_USE_SERIALIZER_DOUBLE_DATA_MODE STRING "false"
475
// Retrieval info: CONSTANT: USE_CALIBRATION_BLOCK STRING "true"
476
// Retrieval info: CONSTANT: VOD_CTRL_SETTING NUMERIC "3"
477
// Retrieval info: USED_PORT: cal_blk_clk 0 0 0 0 INPUT NODEFVAL "cal_blk_clk"
478
// Retrieval info: USED_PORT: gxb_powerdown 0 0 1 0 INPUT NODEFVAL "gxb_powerdown[0..0]"
479
// Retrieval info: USED_PORT: pll_inclk 0 0 0 0 INPUT NODEFVAL "pll_inclk"
480
// Retrieval info: USED_PORT: pll_locked 0 0 1 0 OUTPUT NODEFVAL "pll_locked[0..0]"
481
// Retrieval info: USED_PORT: reconfig_clk 0 0 0 0 INPUT NODEFVAL "reconfig_clk"
482
// Retrieval info: USED_PORT: reconfig_fromgxb 0 0 1 0 OUTPUT NODEFVAL "reconfig_fromgxb[0..0]"
483
// Retrieval info: USED_PORT: reconfig_togxb 0 0 3 0 INPUT NODEFVAL "reconfig_togxb[2..0]"
484
// Retrieval info: USED_PORT: rx_analogreset 0 0 1 0 INPUT NODEFVAL "rx_analogreset[0..0]"
485
// Retrieval info: USED_PORT: rx_clkout 0 0 0 0 OUTPUT NODEFVAL "rx_clkout"
486
// Retrieval info: USED_PORT: rx_cruclk 0 0 1 0 INPUT GND "rx_cruclk[0..0]"
487
// Retrieval info: USED_PORT: rx_ctrldetect 0 0 1 0 OUTPUT NODEFVAL "rx_ctrldetect[0..0]"
488
// Retrieval info: USED_PORT: rx_datain 0 0 1 0 INPUT NODEFVAL "rx_datain[0..0]"
489
// Retrieval info: USED_PORT: rx_dataout 0 0 8 0 OUTPUT NODEFVAL "rx_dataout[7..0]"
490
// Retrieval info: USED_PORT: rx_digitalreset 0 0 1 0 INPUT NODEFVAL "rx_digitalreset[0..0]"
491
// Retrieval info: USED_PORT: rx_disperr 0 0 1 0 OUTPUT NODEFVAL "rx_disperr[0..0]"
492
// Retrieval info: USED_PORT: rx_errdetect 0 0 1 0 OUTPUT NODEFVAL "rx_errdetect[0..0]"
493
// Retrieval info: USED_PORT: rx_freqlocked 0 0 1 0 OUTPUT NODEFVAL "rx_freqlocked[0..0]"
494
// Retrieval info: USED_PORT: rx_patterndetect 0 0 1 0 OUTPUT NODEFVAL "rx_patterndetect[0..0]"
495
// Retrieval info: USED_PORT: rx_recovclkout 0 0 1 0 OUTPUT NODEFVAL "rx_recovclkout[0..0]"
496
// Retrieval info: USED_PORT: rx_rlv 0 0 1 0 OUTPUT NODEFVAL "rx_rlv[0..0]"
497
// Retrieval info: USED_PORT: rx_rmfifodatadeleted 0 0 1 0 OUTPUT NODEFVAL "rx_rmfifodatadeleted[0..0]"
498
// Retrieval info: USED_PORT: rx_rmfifodatainserted 0 0 1 0 OUTPUT NODEFVAL "rx_rmfifodatainserted[0..0]"
499
// Retrieval info: USED_PORT: rx_runningdisp 0 0 1 0 OUTPUT NODEFVAL "rx_runningdisp[0..0]"
500
// Retrieval info: USED_PORT: rx_seriallpbken 0 0 1 0 INPUT NODEFVAL "rx_seriallpbken[0..0]"
501
// Retrieval info: USED_PORT: rx_syncstatus 0 0 1 0 OUTPUT NODEFVAL "rx_syncstatus[0..0]"
502
// Retrieval info: USED_PORT: tx_clkout 0 0 1 0 OUTPUT NODEFVAL "tx_clkout[0..0]"
503
// Retrieval info: USED_PORT: tx_ctrlenable 0 0 1 0 INPUT NODEFVAL "tx_ctrlenable[0..0]"
504
// Retrieval info: USED_PORT: tx_datain 0 0 8 0 INPUT NODEFVAL "tx_datain[7..0]"
505
// Retrieval info: USED_PORT: tx_dataout 0 0 1 0 OUTPUT NODEFVAL "tx_dataout[0..0]"
506
// Retrieval info: USED_PORT: tx_digitalreset 0 0 1 0 INPUT NODEFVAL "tx_digitalreset[0..0]"
507
// Retrieval info: CONNECT: @cal_blk_clk 0 0 0 0 cal_blk_clk 0 0 0 0
508
// Retrieval info: CONNECT: @gxb_powerdown 0 0 1 0 gxb_powerdown 0 0 1 0
509
// Retrieval info: CONNECT: @pll_inclk 0 0 0 0 pll_inclk 0 0 0 0
510
// Retrieval info: CONNECT: @reconfig_clk 0 0 0 0 reconfig_clk 0 0 0 0
511
// Retrieval info: CONNECT: @reconfig_togxb 0 0 3 0 reconfig_togxb 0 0 3 0
512
// Retrieval info: CONNECT: @rx_analogreset 0 0 1 0 rx_analogreset 0 0 1 0
513
// Retrieval info: CONNECT: @rx_cruclk 0 0 1 0 rx_cruclk 0 0 1 0
514
// Retrieval info: CONNECT: @rx_datain 0 0 1 0 rx_datain 0 0 1 0
515
// Retrieval info: CONNECT: @rx_digitalreset 0 0 1 0 rx_digitalreset 0 0 1 0
516
// Retrieval info: CONNECT: @rx_seriallpbken 0 0 1 0 rx_seriallpbken 0 0 1 0
517
// Retrieval info: CONNECT: @tx_ctrlenable 0 0 1 0 tx_ctrlenable 0 0 1 0
518
// Retrieval info: CONNECT: @tx_datain 0 0 8 0 tx_datain 0 0 8 0
519
// Retrieval info: CONNECT: @tx_digitalreset 0 0 1 0 tx_digitalreset 0 0 1 0
520
// Retrieval info: CONNECT: pll_locked 0 0 1 0 @pll_locked 0 0 1 0
521
// Retrieval info: CONNECT: reconfig_fromgxb 0 0 1 0 @reconfig_fromgxb 0 0 1 0
522
// Retrieval info: CONNECT: rx_clkout 0 0 0 0 @rx_clkout 0 0 0 0
523
// Retrieval info: CONNECT: rx_ctrldetect 0 0 1 0 @rx_ctrldetect 0 0 1 0
524
// Retrieval info: CONNECT: rx_dataout 0 0 8 0 @rx_dataout 0 0 8 0
525
// Retrieval info: CONNECT: rx_disperr 0 0 1 0 @rx_disperr 0 0 1 0
526
// Retrieval info: CONNECT: rx_errdetect 0 0 1 0 @rx_errdetect 0 0 1 0
527
// Retrieval info: CONNECT: rx_freqlocked 0 0 1 0 @rx_freqlocked 0 0 1 0
528
// Retrieval info: CONNECT: rx_patterndetect 0 0 1 0 @rx_patterndetect 0 0 1 0
529
// Retrieval info: CONNECT: rx_recovclkout 0 0 1 0 @rx_recovclkout 0 0 1 0
530
// Retrieval info: CONNECT: rx_rlv 0 0 1 0 @rx_rlv 0 0 1 0
531
// Retrieval info: CONNECT: rx_rmfifodatadeleted 0 0 1 0 @rx_rmfifodatadeleted 0 0 1 0
532
// Retrieval info: CONNECT: rx_rmfifodatainserted 0 0 1 0 @rx_rmfifodatainserted 0 0 1 0
533
// Retrieval info: CONNECT: rx_runningdisp 0 0 1 0 @rx_runningdisp 0 0 1 0
534
// Retrieval info: CONNECT: rx_syncstatus 0 0 1 0 @rx_syncstatus 0 0 1 0
535
// Retrieval info: CONNECT: tx_clkout 0 0 1 0 @tx_clkout 0 0 1 0
536
// Retrieval info: CONNECT: tx_dataout 0 0 1 0 @tx_dataout 0 0 1 0
537
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_alt2gxb_gige.v TRUE
538
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_alt2gxb_gige.ppf TRUE
539
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_alt2gxb_gige.inc FALSE
540
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_alt2gxb_gige.cmp FALSE
541
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_alt2gxb_gige.bsf FALSE
542
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_alt2gxb_gige_inst.v FALSE
543
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_alt2gxb_gige_bb.v FALSE

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.