OpenCores
URL https://opencores.org/ocsvn/sgmii/sgmii/trunk

Subversion Repositories sgmii

[/] [sgmii/] [trunk/] [sim/] [BFMs/] [SGMII_altera/] [triple_speed_ethernet-library/] [altera_tse_altgx_civgx_gige_wo_rmfifo.v] - Blame information for rev 20

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 jefflieu
// megafunction wizard: %ALTGX%
2
// GENERATION: STANDARD
3
// VERSION: WM1.0
4
// MODULE: alt_c3gxb 
5
 
6
// ============================================================
7
// File Name: altera_tse_altgx_civgx_gige_wo_rmfifo.v
8
// Megafunction Name(s):
9
//                      alt_c3gxb
10
//
11
// Simulation Library Files(s):
12
//                      altera_mf;cycloneiv_hssi
13
// ============================================================
14
// ************************************************************
15
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
//
17 20 jefflieu
// 12.0 Internal Build 147 03/05/2012 PN Full Version
18 9 jefflieu
// ************************************************************
19
 
20
 
21 20 jefflieu
//Copyright (C) 1991-2012 Altera Corporation
22 9 jefflieu
//Your use of Altera Corporation's design tools, logic functions 
23
//and other software and tools, and its AMPP partner logic 
24
//functions, and any output files from any of the foregoing 
25
//(including device programming or simulation files), and any 
26
//associated documentation or information are expressly subject 
27
//to the terms and conditions of the Altera Program License 
28
//Subscription Agreement, Altera MegaCore Function License 
29
//Agreement, or other applicable license agreement, including, 
30
//without limitation, that your use is for the sole purpose of 
31
//programming logic devices manufactured by Altera and sold by 
32
//Altera or its authorized distributors.  Please refer to the 
33
//applicable agreement for further details.
34
 
35
 
36 20 jefflieu
//alt_c3gxb CBX_AUTO_BLACKBOX="ALL" device_family="Cyclone IV GX" effective_data_rate="1250.0 Mbps" equalization_setting=1 equalizer_dcgain_setting=0 gxb_powerdown_width=1 loopback_mode="none" number_of_channels=1 number_of_quads=1 operation_mode="duplex" pll_bandwidth_type="high" pll_control_width=1 pll_divide_by="1" pll_inclk_period=8000 pll_multiply_by="5" pll_pfd_fb_mode="internal" preemphasis_ctrl_1stposttap_setting=1 protocol="gige" receiver_termination="OCT_100_OHMS" reconfig_calibration="true" reconfig_dprio_mode=0 reconfig_pll_control_width=1 rx_8b_10b_mode="normal" rx_align_pattern="1111100" rx_align_pattern_length=7 rx_allow_align_polarity_inversion="false" rx_allow_pipe_polarity_inversion="false" rx_bitslip_enable="false" rx_byte_ordering_mode="none" rx_channel_width=8 rx_common_mode="0.82v" rx_datapath_protocol="basic" rx_deskew_pattern="0" rx_digitalreset_port_width=1 rx_dwidth_factor=1 rx_enable_bit_reversal="false" rx_enable_lock_to_data_sig="false" rx_enable_lock_to_refclk_sig="false" rx_enable_second_order_loop="false" rx_enable_self_test_mode="false" rx_force_signal_detect="true" rx_loop_1_digital_filter=8 rx_ppmselect=8 rx_rate_match_fifo_mode="normal" rx_rate_match_fifo_mode_manual_control="none" rx_rate_match_pattern1="10100010010101111100" rx_rate_match_pattern2="10101011011010000011" rx_rate_match_pattern_size=20 rx_run_length=5 rx_run_length_enable="true" rx_signal_detect_loss_threshold=1 rx_signal_detect_threshold=8 rx_signal_detect_valid_threshold=14 rx_use_align_state_machine="true" rx_use_clkout="true" rx_use_coreclk="false" rx_use_deskew_fifo="false" rx_use_double_data_mode="false" rx_use_external_termination="false" rx_word_aligner_num_byte=1 starting_channel_number=0 top_module_name="altera_tse_altgx_civgx_gige_wo_rmfifo" transmitter_termination="OCT_100_OHMS" tx_8b_10b_mode="normal" tx_allow_polarity_inversion="false" tx_bitslip_enable="false" tx_channel_width=8 tx_clkout_width=1 tx_common_mode="0.65v" tx_digitalreset_port_width=1 tx_dwidth_factor=1 tx_enable_bit_reversal="false" tx_enable_self_test_mode="false" tx_slew_rate="medium" tx_transmit_protocol="basic" tx_use_coreclk="false" tx_use_double_data_mode="false" tx_use_external_termination="false" use_calibration_block="true" vod_ctrl_setting=1 cal_blk_clk fixedclk fixedclk_fast gxb_powerdown pll_areset pll_inclk pll_locked reconfig_clk reconfig_fromgxb reconfig_togxb rx_analogreset rx_clkout rx_ctrldetect rx_datain rx_dataout rx_digitalreset rx_disperr rx_errdetect rx_freqlocked rx_patterndetect rx_recovclkout rx_rlv rx_rmfifodatadeleted rx_rmfifodatainserted rx_runningdisp rx_syncstatus tx_clkout tx_ctrlenable tx_datain tx_dataout tx_digitalreset intended_device_family="Cyclone IV GX"
37
//VERSION_BEGIN 12.0 cbx_alt_c3gxb 2012:03:05:21:09:17:PN cbx_altclkbuf 2012:03:05:21:09:17:PN cbx_altiobuf_bidir 2012:03:05:21:09:17:PN cbx_altiobuf_in 2012:03:05:21:09:17:PN cbx_altiobuf_out 2012:03:05:21:09:17:PN cbx_altpll 2012:03:05:21:09:17:PN cbx_cycloneii 2012:03:05:21:09:17:PN cbx_lpm_add_sub 2012:03:05:21:09:17:PN cbx_lpm_compare 2012:03:05:21:09:17:PN cbx_lpm_counter 2012:03:05:21:09:17:PN cbx_lpm_decode 2012:03:05:21:09:17:PN cbx_lpm_mux 2012:03:05:21:09:17:PN cbx_mgl 2012:03:05:22:13:55:PN cbx_stingray 2012:03:05:21:09:16:PN cbx_stratix 2012:03:05:21:09:18:PN cbx_stratixii 2012:03:05:21:09:18:PN cbx_stratixiii 2012:03:05:21:09:18:PN cbx_stratixv 2012:03:05:21:09:18:PN cbx_util_mgl 2012:03:05:21:09:17:PN  VERSION_END
38 9 jefflieu
// synthesis VERILOG_INPUT_VERSION VERILOG_2001
39
// altera message_off 10463
40
 
41
 
42
//synthesis_resources = altpll 1 cycloneiv_hssi_calibration_block 1 cycloneiv_hssi_cmu 1 cycloneiv_hssi_rx_pcs 1 cycloneiv_hssi_rx_pma 1 cycloneiv_hssi_tx_pcs 1 cycloneiv_hssi_tx_pma 1 reg 3 
43
//synopsys translate_off
44
`timescale 1 ps / 1 ps
45
//synopsys translate_on
46
(* ALTERA_ATTRIBUTE = {"suppress_da_rule_internal=c104"} *)
47 20 jefflieu
module  altera_tse_altgx_civgx_gige_wo_rmfifo_alt_c3gxb_ut08
48 9 jefflieu
        (
49
        cal_blk_clk,
50
        fixedclk,
51
        fixedclk_fast,
52
        gxb_powerdown,
53
        pll_areset,
54
        pll_inclk,
55
        pll_locked,
56
        reconfig_clk,
57
        reconfig_fromgxb,
58
        reconfig_togxb,
59
        rx_analogreset,
60
        rx_clkout,
61
        rx_ctrldetect,
62
        rx_datain,
63
        rx_dataout,
64
        rx_digitalreset,
65
        rx_disperr,
66
        rx_errdetect,
67
        rx_freqlocked,
68
        rx_patterndetect,
69
        rx_recovclkout,
70
        rx_rlv,
71
        rx_rmfifodatadeleted,
72
        rx_rmfifodatainserted,
73
        rx_runningdisp,
74
        rx_syncstatus,
75
        tx_clkout,
76
        tx_ctrlenable,
77
        tx_datain,
78
        tx_dataout,
79
        tx_digitalreset) /* synthesis synthesis_clearbox=2 */;
80
        input   cal_blk_clk;
81
        input   fixedclk;
82
        input   [3:0]  fixedclk_fast;
83
        input   [0:0]  gxb_powerdown;
84
        input   [0:0]  pll_areset;
85
        input   [0:0]  pll_inclk;
86
        output   [0:0]  pll_locked;
87
        input   reconfig_clk;
88
        output   [4:0]  reconfig_fromgxb;
89
        input   [3:0]  reconfig_togxb;
90
        input   [0:0]  rx_analogreset;
91
        output   [0:0]  rx_clkout;
92
        output   [0:0]  rx_ctrldetect;
93
        input   [0:0]  rx_datain;
94
        output   [7:0]  rx_dataout;
95
        input   [0:0]  rx_digitalreset;
96
        output   [0:0]  rx_disperr;
97
        output   [0:0]  rx_errdetect;
98
        output   [0:0]  rx_freqlocked;
99
        output   [0:0]  rx_patterndetect;
100
        output   [0:0]  rx_recovclkout;
101
        output   [0:0]  rx_rlv;
102
        output   [0:0]  rx_rmfifodatadeleted;
103
        output   [0:0]  rx_rmfifodatainserted;
104
        output   [0:0]  rx_runningdisp;
105
        output   [0:0]  rx_syncstatus;
106
        output   [0:0]  tx_clkout;
107
        input   [0:0]  tx_ctrlenable;
108
        input   [7:0]  tx_datain;
109
        output   [0:0]  tx_dataout;
110
        input   [0:0]  tx_digitalreset;
111
`ifndef ALTERA_RESERVED_QIS
112
// synopsys translate_off
113
`endif
114
        tri0   cal_blk_clk;
115
        tri0   fixedclk;
116
        tri1   [3:0]  fixedclk_fast;
117
        tri0   [0:0]  gxb_powerdown;
118
        tri0   [0:0]  pll_areset;
119
        tri0   reconfig_clk;
120
        tri0   [0:0]  rx_analogreset;
121
        tri0   [0:0]  rx_digitalreset;
122
        tri0   [0:0]  tx_ctrlenable;
123
        tri0   [7:0]  tx_datain;
124
        tri0   [0:0]  tx_digitalreset;
125
`ifndef ALTERA_RESERVED_QIS
126
// synopsys translate_on
127
`endif
128
 
129
 
130
        parameter       starting_channel_number = 0;
131
 
132
 
133
        wire  [5:0]   wire_pll0_clk;
134
        wire  wire_pll0_fref;
135
        wire  wire_pll0_icdrclk;
136
        wire  wire_pll0_locked;
137
        wire  wire_cal_blk0_nonusertocmu;
138
        wire  wire_cent_unit0_dpriodisableout;
139
        wire  wire_cent_unit0_dprioout;
140
        wire  wire_cent_unit0_quadresetout;
141
        wire  [3:0]   wire_cent_unit0_rxanalogresetout;
142
        wire  [3:0]   wire_cent_unit0_rxcrupowerdown;
143
        wire  [3:0]   wire_cent_unit0_rxdigitalresetout;
144
        wire  [3:0]   wire_cent_unit0_rxibpowerdown;
145
        wire  [1599:0]   wire_cent_unit0_rxpcsdprioout;
146
        wire  [1199:0]   wire_cent_unit0_rxpmadprioout;
147
        wire  [3:0]   wire_cent_unit0_txanalogresetout;
148
        wire  [3:0]   wire_cent_unit0_txdetectrxpowerdown;
149
        wire  [3:0]   wire_cent_unit0_txdigitalresetout;
150
        wire  [3:0]   wire_cent_unit0_txdividerpowerdown;
151
        wire  [3:0]   wire_cent_unit0_txobpowerdown;
152
        wire  [599:0]   wire_cent_unit0_txpcsdprioout;
153
        wire  [1199:0]   wire_cent_unit0_txpmadprioout;
154
        wire  wire_receive_pcs0_cdrctrllocktorefclkout;
155
        wire  wire_receive_pcs0_clkout;
156
        wire  [1:0]   wire_receive_pcs0_ctrldetect;
157
        wire  [19:0]   wire_receive_pcs0_dataout;
158
        wire  [1:0]   wire_receive_pcs0_disperr;
159
        wire  [399:0]   wire_receive_pcs0_dprioout;
160
        wire  [1:0]   wire_receive_pcs0_errdetect;
161
        wire  [1:0]   wire_receive_pcs0_patterndetect;
162
        wire  wire_receive_pcs0_rlv;
163
        wire  [1:0]   wire_receive_pcs0_rmfifodatadeleted;
164
        wire  [1:0]   wire_receive_pcs0_rmfifodatainserted;
165
        wire  [1:0]   wire_receive_pcs0_runningdisp;
166
        wire  [1:0]   wire_receive_pcs0_syncstatus;
167
        wire  [7:0]   wire_receive_pma0_analogtestbus;
168
        wire  wire_receive_pma0_clockout;
169
        wire  wire_receive_pma0_diagnosticlpbkout;
170
        wire  [299:0]   wire_receive_pma0_dprioout;
171
        wire  wire_receive_pma0_freqlocked;
172
        wire  wire_receive_pma0_locktorefout;
173
        wire  [9:0]   wire_receive_pma0_recoverdataout;
174
        wire  wire_receive_pma0_reverselpbkout;
175
        wire  wire_receive_pma0_signaldetect;
176
        wire  wire_transmit_pcs0_clkout;
177
        wire  [9:0]   wire_transmit_pcs0_dataout;
178
        wire  [149:0]   wire_transmit_pcs0_dprioout;
179
        wire  wire_transmit_pcs0_txdetectrx;
180
        wire  wire_transmit_pma0_clockout;
181
        wire  wire_transmit_pma0_dataout;
182
        wire  [299:0]   wire_transmit_pma0_dprioout;
183
        wire  wire_transmit_pma0_seriallpbkout;
184
        reg     [0:0]     fixedclk_div;
185
        reg     [1:0]    reconfig_togxb_busy_reg;
186
        wire cal_blk_powerdown;
187
        wire  [0:0]  cent_unit_quadresetout;
188
        wire  [3:0]  cent_unit_rxcrupowerdn;
189
        wire  [3:0]  cent_unit_rxibpowerdn;
190
        wire  [1599:0]  cent_unit_rxpcsdprioin;
191
        wire  [1599:0]  cent_unit_rxpcsdprioout;
192
        wire  [1199:0]  cent_unit_rxpmadprioin;
193
        wire  [1199:0]  cent_unit_rxpmadprioout;
194
        wire  [599:0]  cent_unit_tx_dprioin;
195
        wire  [3:0]  cent_unit_txdetectrxpowerdn;
196
        wire  [3:0]  cent_unit_txdividerpowerdown;
197
        wire  [599:0]  cent_unit_txdprioout;
198
        wire  [3:0]  cent_unit_txobpowerdn;
199
        wire  [1199:0]  cent_unit_txpmadprioin;
200
        wire  [1199:0]  cent_unit_txpmadprioout;
201
        wire  [0:0]  fixedclk_div_in;
202
        wire  [0:0]  fixedclk_enable;
203
        wire  [0:0]  fixedclk_sel;
204
        wire  [3:0]  fixedclk_to_cmu;
205
        wire  [0:0]  nonusertocmu_out;
206
        wire [0:0]  pll_powerdown;
207
        wire  [0:0]  reconfig_togxb_busy;
208
        wire  [0:0]  reconfig_togxb_disable;
209
        wire  [0:0]  reconfig_togxb_in;
210
        wire  [0:0]  reconfig_togxb_load;
211
        wire  [3:0]  rx_analogreset_in;
212
        wire  [3:0]  rx_analogreset_out;
213
        wire  [0:0]  rx_clkout_wire;
214
        wire  [0:0]  rx_coreclk_in;
215
        wire  [0:0]  rx_deserclock_in;
216
        wire  [3:0]  rx_digitalreset_in;
217
        wire  [3:0]  rx_digitalreset_out;
218
        wire [0:0]  rx_enapatternalign;
219
        wire [0:0]  rx_locktodata;
220
        wire [0:0]  rx_locktorefclk;
221
        wire  [0:0]  rx_locktorefclk_wire;
222
        wire  [7:0]  rx_out_wire;
223
        wire  [1599:0]  rx_pcsdprioin_wire;
224
        wire  [1599:0]  rx_pcsdprioout;
225
        wire [0:0]  rx_phfifordenable;
226
        wire [0:0]  rx_phfiforeset;
227
        wire [0:0]  rx_phfifowrdisable;
228
        wire  [0:0]  rx_pll_pfdrefclkout_wire;
229
        wire  [4:0]  rx_pma_analogtestbus;
230
        wire  [0:0]  rx_pma_clockout;
231
        wire  [9:0]  rx_pma_recoverdataout_wire;
232
        wire  [1199:0]  rx_pmadprioin_wire;
233
        wire  [1199:0]  rx_pmadprioout;
234
        wire [0:0]  rx_powerdown;
235
        wire  [3:0]  rx_powerdown_in;
236
        wire [0:0]  rx_prbscidenable;
237
        wire  [0:0]  rx_reverselpbkout;
238
        wire  [0:0]  rx_signaldetect_wire;
239
        wire  [3:0]  tx_analogreset_out;
240
        wire  [0:0]  tx_clkout_int_wire;
241
        wire  [0:0]  tx_core_clkout_wire;
242
        wire  [0:0]  tx_coreclk_in;
243
        wire  [7:0]  tx_datain_wire;
244
        wire  [9:0]  tx_dataout_pcs_to_pma;
245
        wire  [0:0]  tx_diagnosticlpbkin;
246
        wire  [3:0]  tx_digitalreset_in;
247
        wire  [3:0]  tx_digitalreset_out;
248
        wire  [599:0]  tx_dprioin_wire;
249
        wire  [0:0]  tx_forcedisp_wire;
250
        wire [0:0]  tx_invpolarity;
251
        wire  [0:0]  tx_localrefclk;
252
        wire [0:0]  tx_phfiforeset;
253
        wire  [0:0]  tx_pma_fastrefclk0in;
254
        wire  [0:0]  tx_pma_refclk0in;
255
        wire  [0:0]  tx_pma_refclk0inpulse;
256
        wire  [1199:0]  tx_pmadprioin_wire;
257
        wire  [1199:0]  tx_pmadprioout;
258
        wire  [0:0]  tx_serialloopbackout;
259
        wire  [599:0]  tx_txdprioout;
260
        wire  [0:0]  txdataout;
261
        wire  [0:0]  txdetectrxout;
262
        wire  [0:0]  w_cent_unit_dpriodisableout1w;
263
 
264
        altpll   pll0
265
        (
266
        .activeclock(),
267
        .areset((pll_areset[0] | pll_powerdown[0])),
268
        .clk(wire_pll0_clk),
269
        .clkbad(),
270
        .clkloss(),
271
        .enable0(),
272
        .enable1(),
273
        .extclk(),
274
        .fbout(),
275
        .fref(wire_pll0_fref),
276
        .icdrclk(wire_pll0_icdrclk),
277
        .inclk({{1{1'b0}}, pll_inclk[0]}),
278
        .locked(wire_pll0_locked),
279
        .phasedone(),
280
        .scandataout(),
281
        .scandone(),
282
        .sclkout0(),
283
        .sclkout1(),
284
        .vcooverrange(),
285
        .vcounderrange()
286
        `ifndef FORMAL_VERIFICATION
287
        // synopsys translate_off
288
        `endif
289
        ,
290
        .clkena({6{1'b1}}),
291
        .clkswitch(1'b0),
292
        .configupdate(1'b0),
293
        .extclkena({4{1'b1}}),
294
        .fbin(1'b1),
295
        .pfdena(1'b1),
296
        .phasecounterselect({4{1'b1}}),
297
        .phasestep(1'b1),
298
        .phaseupdown(1'b1),
299
        .pllena(1'b1),
300
        .scanaclr(1'b0),
301
        .scanclk(1'b0),
302
        .scanclkena(1'b1),
303
        .scandata(1'b0),
304
        .scanread(1'b0),
305
        .scanwrite(1'b0)
306
        `ifndef FORMAL_VERIFICATION
307
        // synopsys translate_on
308
        `endif
309
        );
310
        defparam
311
                pll0.bandwidth_type = "HIGH",
312
                pll0.clk0_divide_by = 1,
313
                pll0.clk0_multiply_by = 5,
314
                pll0.clk1_divide_by = 5,
315
                pll0.clk1_multiply_by = 5,
316
                pll0.clk2_divide_by = 5,
317
                pll0.clk2_duty_cycle = 20,
318
                pll0.clk2_multiply_by = 5,
319
                pll0.dpa_divide_by = 1,
320
                pll0.dpa_multiply_by = 5,
321
                pll0.inclk0_input_frequency = 8000,
322
                pll0.operation_mode = "no_compensation",
323
                pll0.intended_device_family = "Cyclone IV GX",
324
                pll0.lpm_type = "altpll";
325
        cycloneiv_hssi_calibration_block   cal_blk0
326
        (
327
        .calibrationstatus(),
328
        .clk(cal_blk_clk),
329
        .nonusertocmu(wire_cal_blk0_nonusertocmu),
330
        .powerdn(cal_blk_powerdown)
331
        `ifndef FORMAL_VERIFICATION
332
        // synopsys translate_off
333
        `endif
334
        ,
335
        .testctrl(1'b0)
336
        `ifndef FORMAL_VERIFICATION
337
        // synopsys translate_on
338
        `endif
339
        );
340
        cycloneiv_hssi_cmu   cent_unit0
341
        (
342
        .adet({4{1'b0}}),
343
        .alignstatus(),
344
        .coreclkout(),
345
        .digitaltestout(),
346
        .dpclk(reconfig_clk),
347
        .dpriodisable(reconfig_togxb_disable),
348
        .dpriodisableout(wire_cent_unit0_dpriodisableout),
349
        .dprioin(reconfig_togxb_in),
350
        .dprioload(reconfig_togxb_load),
351
        .dpriooe(),
352
        .dprioout(wire_cent_unit0_dprioout),
353
        .enabledeskew(),
354
        .fiforesetrd(),
355
        .fixedclk({{3{1'b0}}, fixedclk_to_cmu[0]}),
356
        .nonuserfromcal(nonusertocmu_out[0]),
357
        .quadreset(gxb_powerdown[0]),
358
        .quadresetout(wire_cent_unit0_quadresetout),
359
        .rdalign({4{1'b0}}),
360
        .rdenablesync(1'b0),
361
        .recovclk(1'b0),
362
        .refclkout(),
363
        .rxanalogreset({rx_analogreset_in[3:0]}),
364
        .rxanalogresetout(wire_cent_unit0_rxanalogresetout),
365
        .rxcrupowerdown(wire_cent_unit0_rxcrupowerdown),
366
        .rxctrl({4{1'b0}}),
367
        .rxctrlout(),
368
        .rxdatain({32{1'b0}}),
369
        .rxdataout(),
370
        .rxdatavalid({4{1'b0}}),
371
        .rxdigitalreset({rx_digitalreset_in[3:0]}),
372
        .rxdigitalresetout(wire_cent_unit0_rxdigitalresetout),
373
        .rxibpowerdown(wire_cent_unit0_rxibpowerdown),
374
        .rxpcsdprioin({cent_unit_rxpcsdprioin[1599:0]}),
375
        .rxpcsdprioout(wire_cent_unit0_rxpcsdprioout),
376
        .rxphfifox4byteselout(),
377
        .rxphfifox4rdenableout(),
378
        .rxphfifox4wrclkout(),
379
        .rxphfifox4wrenableout(),
380
        .rxpmadprioin({cent_unit_rxpmadprioin[1199:0]}),
381
        .rxpmadprioout(wire_cent_unit0_rxpmadprioout),
382
        .rxpowerdown({rx_powerdown_in[3:0]}),
383
        .rxrunningdisp({4{1'b0}}),
384
        .syncstatus({4{1'b0}}),
385
        .testout(),
386
        .txanalogresetout(wire_cent_unit0_txanalogresetout),
387
        .txctrl({4{1'b0}}),
388
        .txctrlout(),
389
        .txdatain({32{1'b0}}),
390
        .txdataout(),
391
        .txdetectrxpowerdown(wire_cent_unit0_txdetectrxpowerdown),
392
        .txdigitalreset({tx_digitalreset_in[3:0]}),
393
        .txdigitalresetout(wire_cent_unit0_txdigitalresetout),
394
        .txdividerpowerdown(wire_cent_unit0_txdividerpowerdown),
395
        .txobpowerdown(wire_cent_unit0_txobpowerdown),
396
        .txpcsdprioin({cent_unit_tx_dprioin[599:0]}),
397
        .txpcsdprioout(wire_cent_unit0_txpcsdprioout),
398
        .txphfifox4byteselout(),
399
        .txphfifox4rdclkout(),
400
        .txphfifox4rdenableout(),
401
        .txphfifox4wrenableout(),
402
        .txpmadprioin({cent_unit_txpmadprioin[1199:0]}),
403
        .txpmadprioout(wire_cent_unit0_txpmadprioout)
404
        `ifndef FORMAL_VERIFICATION
405
        // synopsys translate_off
406
        `endif
407
        ,
408
        .pmacramtest(1'b0),
409
        .refclkdig(1'b0),
410
        .rxcoreclk(1'b0),
411
        .rxphfifordenable(1'b1),
412
        .rxphfiforeset(1'b0),
413
        .rxphfifowrdisable(1'b0),
414
        .scanclk(1'b0),
415
        .scanmode(1'b0),
416
        .scanshift(1'b0),
417
        .testin({2000{1'b0}}),
418
        .txclk(1'b0),
419
        .txcoreclk(1'b0),
420
        .txphfiforddisable(1'b0),
421
        .txphfiforeset(1'b0),
422
        .txphfifowrenable(1'b0)
423
        `ifndef FORMAL_VERIFICATION
424
        // synopsys translate_on
425
        `endif
426
        );
427
        defparam
428
                cent_unit0.auto_spd_deassert_ph_fifo_rst_count = 8,
429
                cent_unit0.auto_spd_phystatus_notify_count = 0,
430
                cent_unit0.devaddr = ((((starting_channel_number / 4) + 0) % 32) + 1),
431
                cent_unit0.dprio_config_mode = 6'h01,
432
                cent_unit0.in_xaui_mode = "false",
433
                cent_unit0.portaddr = (((starting_channel_number + 0) / 128) + 1),
434
                cent_unit0.rx0_channel_bonding = "none",
435
                cent_unit0.rx0_clk1_mux_select = "recovered clock",
436
                cent_unit0.rx0_clk2_mux_select = "recovered clock",
437
                cent_unit0.rx0_ph_fifo_reg_mode = "false",
438
                cent_unit0.rx0_rd_clk_mux_select = "core clock",
439
                cent_unit0.rx0_recovered_clk_mux_select = "recovered clock",
440
                cent_unit0.rx0_reset_clock_output_during_digital_reset = "false",
441
                cent_unit0.rx0_use_double_data_mode = "false",
442
                cent_unit0.tx0_channel_bonding = "none",
443
                cent_unit0.tx0_rd_clk_mux_select = "central",
444
                cent_unit0.tx0_reset_clock_output_during_digital_reset = "false",
445
                cent_unit0.tx0_use_double_data_mode = "false",
446
                cent_unit0.tx0_wr_clk_mux_select = "core_clk",
447
                cent_unit0.use_coreclk_out_post_divider = "false",
448
                cent_unit0.use_deskew_fifo = "false",
449
                cent_unit0.lpm_type = "cycloneiv_hssi_cmu";
450
        cycloneiv_hssi_rx_pcs   receive_pcs0
451
        (
452
        .a1a2size(1'b0),
453
        .a1a2sizeout(),
454
        .a1detect(),
455
        .a2detect(),
456
        .adetectdeskew(),
457
        .alignstatus(1'b0),
458
        .alignstatussync(1'b0),
459
        .alignstatussyncout(),
460
        .bistdone(),
461
        .bisterr(),
462
        .bitslipboundaryselectout(),
463
        .byteorderalignstatus(),
464
        .cdrctrlearlyeios(),
465
        .cdrctrllocktorefcl((reconfig_togxb_busy | rx_locktorefclk[0])),
466
        .cdrctrllocktorefclkout(wire_receive_pcs0_cdrctrllocktorefclkout),
467
        .clkout(wire_receive_pcs0_clkout),
468
        .coreclk(rx_coreclk_in[0]),
469
        .coreclkout(),
470
        .ctrldetect(wire_receive_pcs0_ctrldetect),
471
        .datain(rx_pma_recoverdataout_wire[9:0]),
472
        .dataout(wire_receive_pcs0_dataout),
473
        .dataoutfull(),
474
        .digitalreset(rx_digitalreset_out[0]),
475
        .disperr(wire_receive_pcs0_disperr),
476
        .dpriodisable(w_cent_unit_dpriodisableout1w[0]),
477
        .dprioin(rx_pcsdprioin_wire[399:0]),
478
        .dprioout(wire_receive_pcs0_dprioout),
479
        .enabledeskew(1'b0),
480
        .enabyteord(1'b0),
481
        .enapatternalign(rx_enapatternalign[0]),
482
        .errdetect(wire_receive_pcs0_errdetect),
483
        .fifordin(1'b0),
484
        .fifordout(),
485
        .fiforesetrd(1'b0),
486
        .hipdataout(),
487
        .hipdatavalid(),
488
        .hipelecidle(),
489
        .hipphydonestatus(),
490
        .hipstatus(),
491
        .invpol(1'b0),
492
        .k1detect(),
493
        .k2detect(),
494
        .masterclk(1'b0),
495
        .parallelfdbk({20{1'b0}}),
496
        .patterndetect(wire_receive_pcs0_patterndetect),
497
        .phfifooverflow(),
498
        .phfifordenable(rx_phfifordenable[0]),
499
        .phfifordenableout(),
500
        .phfiforeset(rx_phfiforeset[0]),
501
        .phfiforesetout(),
502
        .phfifounderflow(),
503
        .phfifowrdisable(rx_phfifowrdisable[0]),
504
        .phfifowrdisableout(),
505
        .pipebufferstat(),
506
        .pipedatavalid(),
507
        .pipeelecidle(),
508
        .pipephydonestatus(),
509
        .pipepowerdown({2{1'b0}}),
510
        .pipepowerstate({4{1'b0}}),
511
        .pipestatetransdoneout(),
512
        .pipestatus(),
513
        .prbscidenable(rx_prbscidenable[0]),
514
        .quadreset(cent_unit_quadresetout[0]),
515
        .rdalign(),
516
        .recoveredclk(rx_pma_clockout[0]),
517
        .revbitorderwa(1'b0),
518
        .revparallelfdbkdata(),
519
        .rlv(wire_receive_pcs0_rlv),
520
        .rmfifodatadeleted(wire_receive_pcs0_rmfifodatadeleted),
521
        .rmfifodatainserted(wire_receive_pcs0_rmfifodatainserted),
522
        .rmfifoempty(),
523
        .rmfifofull(),
524
        .rmfifordena(1'b0),
525
        .rmfiforeset(1'b0),
526
        .rmfifowrena(1'b0),
527
        .runningdisp(wire_receive_pcs0_runningdisp),
528
        .rxdetectvalid(1'b0),
529
        .rxfound({2{1'b0}}),
530
        .signaldetect(),
531
        .signaldetected(rx_signaldetect_wire[0]),
532
        .syncstatus(wire_receive_pcs0_syncstatus),
533
        .syncstatusdeskew(),
534
        .xauidelcondmetout(),
535
        .xauififoovrout(),
536
        .xauiinsertincompleteout(),
537
        .xauilatencycompout(),
538
        .xgmctrldet(),
539
        .xgmctrlin(1'b0),
540
        .xgmdatain({8{1'b0}}),
541
        .xgmdataout(),
542
        .xgmdatavalid(),
543
        .xgmrunningdisp()
544
        `ifndef FORMAL_VERIFICATION
545
        // synopsys translate_off
546
        `endif
547
        ,
548
        .bitslip(1'b0),
549
        .elecidleinfersel({3{1'b0}}),
550
        .grayelecidleinferselfromtx({3{1'b0}}),
551
        .hip8b10binvpolarity(1'b0),
552
        .hipelecidleinfersel({3{1'b0}}),
553
        .hippowerdown({2{1'b0}}),
554
        .localrefclk(1'b0),
555
        .phfifox4bytesel(1'b0),
556
        .phfifox4rdenable(1'b0),
557
        .phfifox4wrclk(1'b0),
558
        .phfifox4wrenable(1'b0),
559
        .pipe8b10binvpolarity(1'b0),
560
        .pipeenrevparallellpbkfromtx(1'b0),
561
        .pmatestbusin({8{1'b0}}),
562
        .powerdn({2{1'b0}}),
563
        .refclk(1'b0),
564
        .revbyteorderwa(1'b0),
565
        .wareset(1'b0),
566
        .xauidelcondmet(1'b0),
567
        .xauififoovr(1'b0),
568
        .xauiinsertincomplete(1'b0),
569
        .xauilatencycomp(1'b0)
570
        `ifndef FORMAL_VERIFICATION
571
        // synopsys translate_on
572
        `endif
573
        );
574
        defparam
575 20 jefflieu
                receive_pcs0.align_pattern = "1111100",
576
                receive_pcs0.align_pattern_length = 7,
577 9 jefflieu
                receive_pcs0.allow_align_polarity_inversion = "false",
578
                receive_pcs0.allow_pipe_polarity_inversion = "false",
579
                receive_pcs0.auto_spd_deassert_ph_fifo_rst_count = 8,
580
                receive_pcs0.auto_spd_phystatus_notify_count = 0,
581
                receive_pcs0.bit_slip_enable = "false",
582
                receive_pcs0.byte_order_mode = "none",
583
                receive_pcs0.byte_order_pad_pattern = "0",
584
                receive_pcs0.byte_order_pattern = "0",
585
                receive_pcs0.byte_order_pld_ctrl_enable = "false",
586
                receive_pcs0.cdrctrl_bypass_ppm_detector_cycle = 1000,
587
                receive_pcs0.cdrctrl_enable = "false",
588
                receive_pcs0.cdrctrl_mask_cycle = 800,
589
                receive_pcs0.cdrctrl_min_lock_to_ref_cycle = 63,
590
                receive_pcs0.cdrctrl_rxvalid_mask = "false",
591
                receive_pcs0.channel_bonding = "none",
592
                receive_pcs0.channel_number = ((starting_channel_number + 0) % 4),
593
                receive_pcs0.channel_width = 8,
594
                receive_pcs0.clk1_mux_select = "recovered clock",
595
                receive_pcs0.clk2_mux_select = "recovered clock",
596
                receive_pcs0.core_clock_0ppm = "false",
597
                receive_pcs0.datapath_low_latency_mode = "false",
598
                receive_pcs0.datapath_protocol = "basic",
599
                receive_pcs0.dec_8b_10b_compatibility_mode = "true",
600
                receive_pcs0.dec_8b_10b_mode = "normal",
601
                receive_pcs0.deskew_pattern = "0",
602
                receive_pcs0.disable_auto_idle_insertion = "true",
603
                receive_pcs0.disable_running_disp_in_word_align = "false",
604
                receive_pcs0.disallow_kchar_after_pattern_ordered_set = "false",
605
                receive_pcs0.dprio_config_mode = 6'h01,
606
                receive_pcs0.elec_idle_infer_enable = "false",
607
                receive_pcs0.elec_idle_num_com_detect = 3,
608
                receive_pcs0.enable_bit_reversal = "false",
609
                receive_pcs0.enable_self_test_mode = "false",
610
                receive_pcs0.force_signal_detect_dig = "true",
611
                receive_pcs0.hip_enable = "false",
612
                receive_pcs0.infiniband_invalid_code = 0,
613
                receive_pcs0.insert_pad_on_underflow = "false",
614
                receive_pcs0.num_align_code_groups_in_ordered_set = 1,
615
                receive_pcs0.num_align_cons_good_data = 4,
616
                receive_pcs0.num_align_cons_pat = 3,
617
                receive_pcs0.num_align_loss_sync_error = 4,
618
                receive_pcs0.ph_fifo_low_latency_enable = "true",
619
                receive_pcs0.ph_fifo_reg_mode = "false",
620
                receive_pcs0.protocol_hint = "gige",
621
                receive_pcs0.rate_match_back_to_back = "true",
622
                receive_pcs0.rate_match_delete_threshold = 13,
623
                receive_pcs0.rate_match_empty_threshold = 5,
624
                receive_pcs0.rate_match_fifo_mode = "false",
625
                receive_pcs0.rate_match_full_threshold = 20,
626
                receive_pcs0.rate_match_insert_threshold = 11,
627
                receive_pcs0.rate_match_ordered_set_based = "true",
628
                receive_pcs0.rate_match_pattern1 = "10100010010101111100",
629
                receive_pcs0.rate_match_pattern2 = "10101011011010000011",
630
                receive_pcs0.rate_match_pattern_size = 20,
631
                receive_pcs0.rate_match_reset_enable = "false",
632
                receive_pcs0.rate_match_skip_set_based = "false",
633
                receive_pcs0.rate_match_start_threshold = 7,
634
                receive_pcs0.rd_clk_mux_select = "core clock",
635
                receive_pcs0.recovered_clk_mux_select = "recovered clock",
636
                receive_pcs0.run_length = 5,
637
                receive_pcs0.run_length_enable = "true",
638
                receive_pcs0.rx_detect_bypass = "false",
639
                receive_pcs0.rx_phfifo_wait_cnt = 15,
640
                receive_pcs0.rxstatus_error_report_mode = 0,
641
                receive_pcs0.self_test_mode = "incremental",
642
                receive_pcs0.use_alignment_state_machine = "true",
643
                receive_pcs0.use_deskew_fifo = "false",
644
                receive_pcs0.use_double_data_mode = "false",
645
                receive_pcs0.use_parallel_loopback = "false",
646
                receive_pcs0.lpm_type = "cycloneiv_hssi_rx_pcs";
647
        cycloneiv_hssi_rx_pma   receive_pma0
648
        (
649
        .analogtestbus(wire_receive_pma0_analogtestbus),
650
        .clockout(wire_receive_pma0_clockout),
651
        .crupowerdn(cent_unit_rxcrupowerdn[0]),
652
        .datain(rx_datain[0]),
653
        .datastrobeout(),
654
        .deserclock(rx_deserclock_in[0]),
655
        .diagnosticlpbkout(wire_receive_pma0_diagnosticlpbkout),
656
        .dpriodisable(w_cent_unit_dpriodisableout1w[0]),
657
        .dprioin(rx_pmadprioin_wire[299:0]),
658
        .dprioout(wire_receive_pma0_dprioout),
659
        .freqlocked(wire_receive_pma0_freqlocked),
660
        .locktodata(((~ reconfig_togxb_busy) & rx_locktodata[0])),
661
        .locktoref(rx_locktorefclk_wire[0]),
662
        .locktorefout(wire_receive_pma0_locktorefout),
663
        .powerdn(cent_unit_rxibpowerdn[0]),
664
        .ppmdetectrefclk(rx_pll_pfdrefclkout_wire[0]),
665
        .recoverdataout(wire_receive_pma0_recoverdataout),
666
        .reverselpbkout(wire_receive_pma0_reverselpbkout),
667
        .rxpmareset(rx_analogreset_out[0]),
668
        .seriallpbkin(tx_serialloopbackout[0]),
669
        .signaldetect(wire_receive_pma0_signaldetect),
670
        .testbussel(4'b0110)
671
        `ifndef FORMAL_VERIFICATION
672
        // synopsys translate_off
673
        `endif
674
        ,
675
        .dpashift(1'b0)
676
        `ifndef FORMAL_VERIFICATION
677
        // synopsys translate_on
678
        `endif
679
        );
680
        defparam
681
                receive_pma0.allow_serial_loopback = "false",
682
                receive_pma0.channel_number = ((starting_channel_number + 0) % 4),
683
                receive_pma0.common_mode = "0.82V",
684
                receive_pma0.deserialization_factor = 10,
685
                receive_pma0.dprio_config_mode = 6'h01,
686
                receive_pma0.effective_data_rate = "1250.0 Mbps",
687
                receive_pma0.enable_local_divider = "false",
688
                receive_pma0.enable_ltd = "false",
689
                receive_pma0.enable_ltr = "false",
690
                receive_pma0.enable_second_order_loop = "false",
691
                receive_pma0.eq_dc_gain = 0,
692
                receive_pma0.eq_setting = 1,
693
                receive_pma0.force_signal_detect = "true",
694
                receive_pma0.logical_channel_address = (starting_channel_number + 0),
695
                receive_pma0.loop_1_digital_filter = 8,
696
                receive_pma0.offset_cancellation = 1,
697
                receive_pma0.ppm_gen1_2_xcnt_en = 1,
698
                receive_pma0.ppm_post_eidle = 0,
699
                receive_pma0.ppmselect = 8,
700
                receive_pma0.protocol_hint = "gige",
701
                receive_pma0.signal_detect_hysteresis = 8,
702
                receive_pma0.signal_detect_hysteresis_valid_threshold = 14,
703
                receive_pma0.signal_detect_loss_threshold = 1,
704
                receive_pma0.termination = "OCT 100 Ohms",
705
                receive_pma0.use_external_termination = "false",
706
                receive_pma0.lpm_type = "cycloneiv_hssi_rx_pma";
707
        cycloneiv_hssi_tx_pcs   transmit_pcs0
708
        (
709
        .clkout(wire_transmit_pcs0_clkout),
710
        .coreclk(tx_coreclk_in[0]),
711
        .coreclkout(),
712
        .ctrlenable({{1{1'b0}}, tx_ctrlenable[0]}),
713
        .datain({{12{1'b0}}, tx_datain_wire[7:0]}),
714
        .datainfull({22{1'b0}}),
715
        .dataout(wire_transmit_pcs0_dataout),
716
        .detectrxloop(1'b0),
717
        .digitalreset(tx_digitalreset_out[0]),
718
        .dpriodisable(w_cent_unit_dpriodisableout1w[0]),
719
        .dprioin(tx_dprioin_wire[149:0]),
720
        .dprioout(wire_transmit_pcs0_dprioout),
721
        .enrevparallellpbk(1'b0),
722
        .forcedisp({{1{1'b0}}, tx_forcedisp_wire[0]}),
723
        .forceelecidleout(),
724
        .grayelecidleinferselout(),
725
        .hiptxclkout(),
726
        .invpol(tx_invpolarity[0]),
727
        .localrefclk(tx_localrefclk[0]),
728
        .parallelfdbkout(),
729
        .phfifooverflow(),
730
        .phfiforddisable(1'b0),
731
        .phfiforddisableout(),
732
        .phfiforeset(tx_phfiforeset[0]),
733
        .phfiforesetout(),
734
        .phfifounderflow(),
735
        .phfifowrenable(1'b1),
736
        .phfifowrenableout(),
737
        .pipeenrevparallellpbkout(),
738
        .pipepowerdownout(),
739
        .pipepowerstateout(),
740
        .pipestatetransdone(1'b0),
741
        .powerdn({2{1'b0}}),
742
        .quadreset(cent_unit_quadresetout[0]),
743
        .rdenablesync(),
744
        .revparallelfdbk({20{1'b0}}),
745
        .txdetectrx(wire_transmit_pcs0_txdetectrx),
746
        .xgmctrlenable(),
747
        .xgmdataout()
748
        `ifndef FORMAL_VERIFICATION
749
        // synopsys translate_off
750
        `endif
751
        ,
752
        .bitslipboundaryselect({5{1'b0}}),
753
        .dispval({2{1'b0}}),
754
        .elecidleinfersel({3{1'b0}}),
755
        .forceelecidle(1'b0),
756
        .hipdatain({10{1'b0}}),
757
        .hipdetectrxloop(1'b0),
758
        .hipelecidleinfersel({3{1'b0}}),
759
        .hipforceelecidle(1'b0),
760
        .hippowerdn({2{1'b0}}),
761
        .phfifox4bytesel(1'b0),
762
        .phfifox4rdclk(1'b0),
763
        .phfifox4rdenable(1'b0),
764
        .phfifox4wrenable(1'b0),
765
        .pipetxswing(1'b0),
766
        .prbscidenable(1'b0),
767
        .refclk(1'b0),
768
        .xgmctrl(1'b0),
769
        .xgmdatain({8{1'b0}})
770
        `ifndef FORMAL_VERIFICATION
771
        // synopsys translate_on
772
        `endif
773
        );
774
        defparam
775
                transmit_pcs0.allow_polarity_inversion = "false",
776
                transmit_pcs0.bitslip_enable = "false",
777
                transmit_pcs0.channel_bonding = "none",
778
                transmit_pcs0.channel_number = ((starting_channel_number + 0) % 4),
779
                transmit_pcs0.channel_width = 8,
780
                transmit_pcs0.core_clock_0ppm = "false",
781
                transmit_pcs0.datapath_low_latency_mode = "false",
782
                transmit_pcs0.datapath_protocol = "basic",
783
                transmit_pcs0.disable_ph_low_latency_mode = "false",
784
                transmit_pcs0.disparity_mode = "none",
785
                transmit_pcs0.dprio_config_mode = 6'h01,
786
                transmit_pcs0.elec_idle_delay = 6,
787
                transmit_pcs0.enable_bit_reversal = "false",
788
                transmit_pcs0.enable_idle_selection = "true",
789
                transmit_pcs0.enable_reverse_parallel_loopback = "false",
790
                transmit_pcs0.enable_self_test_mode = "false",
791
                transmit_pcs0.enc_8b_10b_compatibility_mode = "true",
792
                transmit_pcs0.enc_8b_10b_mode = "normal",
793
                transmit_pcs0.hip_enable = "false",
794
                transmit_pcs0.ph_fifo_reg_mode = "false",
795
                transmit_pcs0.prbs_cid_pattern = "false",
796
                transmit_pcs0.protocol_hint = "gige",
797
                transmit_pcs0.refclk_select = "local",
798
                transmit_pcs0.self_test_mode = "incremental",
799
                transmit_pcs0.use_double_data_mode = "false",
800
                transmit_pcs0.wr_clk_mux_select = "core_clk",
801
                transmit_pcs0.lpm_type = "cycloneiv_hssi_tx_pcs";
802
        cycloneiv_hssi_tx_pma   transmit_pma0
803
        (
804
        .cgbpowerdn(cent_unit_txdividerpowerdown[0]),
805
        .clockout(wire_transmit_pma0_clockout),
806
        .datain({tx_dataout_pcs_to_pma[9:0]}),
807
        .dataout(wire_transmit_pma0_dataout),
808
        .detectrxpowerdown(cent_unit_txdetectrxpowerdn[0]),
809
        .diagnosticlpbkin(tx_diagnosticlpbkin[0]),
810
        .dpriodisable(w_cent_unit_dpriodisableout1w[0]),
811
        .dprioin(tx_pmadprioin_wire[299:0]),
812
        .dprioout(wire_transmit_pma0_dprioout),
813
        .fastrefclk0in(tx_pma_fastrefclk0in[0]),
814
        .forceelecidle(1'b0),
815
        .powerdn(cent_unit_txobpowerdn[0]),
816
        .refclk0in(tx_pma_refclk0in[0]),
817
        .refclk0inpulse(tx_pma_refclk0inpulse[0]),
818
        .reverselpbkin(rx_reverselpbkout[0]),
819
        .rxdetecten(txdetectrxout[0]),
820
        .rxdetectvalidout(),
821
        .rxfoundout(),
822
        .seriallpbkout(wire_transmit_pma0_seriallpbkout),
823
        .txpmareset(tx_analogreset_out[0])
824
        `ifndef FORMAL_VERIFICATION
825
        // synopsys translate_off
826
        `endif
827
        ,
828
        .rxdetectclk(1'b0)
829
        `ifndef FORMAL_VERIFICATION
830
        // synopsys translate_on
831
        `endif
832
        );
833
        defparam
834
                transmit_pma0.channel_number = ((starting_channel_number + 0) % 4),
835
                transmit_pma0.common_mode = "0.65V",
836
                transmit_pma0.dprio_config_mode = 6'h01,
837
                transmit_pma0.effective_data_rate = "1250.0 Mbps",
838
                transmit_pma0.enable_diagnostic_loopback = "false",
839
                transmit_pma0.enable_reverse_serial_loopback = "false",
840
                transmit_pma0.logical_channel_address = (starting_channel_number + 0),
841
                transmit_pma0.preemp_tap_1 = 1,
842
                transmit_pma0.protocol_hint = "gige",
843
                transmit_pma0.rx_detect = 0,
844
                transmit_pma0.serialization_factor = 10,
845
                transmit_pma0.slew_rate = "medium",
846
                transmit_pma0.termination = "OCT 100 Ohms",
847
                transmit_pma0.use_external_termination = "false",
848
                transmit_pma0.use_rx_detect = "false",
849
                transmit_pma0.vod_selection = 1,
850
                transmit_pma0.lpm_type = "cycloneiv_hssi_tx_pma";
851
        // synopsys translate_off
852
        initial
853
                fixedclk_div = 0;
854
        // synopsys translate_on
855
        always @ ( posedge fixedclk)
856
                  fixedclk_div <= (~ fixedclk_div_in);
857
        // synopsys translate_off
858
        initial
859
                reconfig_togxb_busy_reg = 0;
860
        // synopsys translate_on
861
        always @ ( negedge fixedclk)
862
                  reconfig_togxb_busy_reg <= {reconfig_togxb_busy_reg[0], reconfig_togxb_busy};
863
        assign
864
                cal_blk_powerdown = 1'b0,
865
                cent_unit_quadresetout = {wire_cent_unit0_quadresetout},
866
                cent_unit_rxcrupowerdn = {wire_cent_unit0_rxcrupowerdown[3:0]},
867
                cent_unit_rxibpowerdn = {wire_cent_unit0_rxibpowerdown[3:0]},
868
                cent_unit_rxpcsdprioin = {{1200{1'b0}}, rx_pcsdprioout[399:0]},
869
                cent_unit_rxpcsdprioout = {wire_cent_unit0_rxpcsdprioout[1599:0]},
870
                cent_unit_rxpmadprioin = {{900{1'b0}}, rx_pmadprioout[299:0]},
871
                cent_unit_rxpmadprioout = {wire_cent_unit0_rxpmadprioout[1199:0]},
872
                cent_unit_tx_dprioin = {{450{1'b0}}, tx_txdprioout[149:0]},
873
                cent_unit_txdetectrxpowerdn = {wire_cent_unit0_txdetectrxpowerdown[3:0]},
874
                cent_unit_txdividerpowerdown = {wire_cent_unit0_txdividerpowerdown[3:0]},
875
                cent_unit_txdprioout = {wire_cent_unit0_txpcsdprioout[599:0]},
876
                cent_unit_txobpowerdn = {wire_cent_unit0_txobpowerdown[3:0]},
877
                cent_unit_txpmadprioin = {{900{1'b0}}, tx_pmadprioout[299:0]},
878
                cent_unit_txpmadprioout = {wire_cent_unit0_txpmadprioout[1199:0]},
879
                fixedclk_div_in = fixedclk_div,
880
                fixedclk_enable = reconfig_togxb_busy_reg[0],
881
                fixedclk_sel = reconfig_togxb_busy_reg[1],
882
                fixedclk_to_cmu = {((((fixedclk_sel & fixedclk_enable) & fixedclk_fast[3]) & fixedclk_div_in) | (((~ fixedclk_sel) & (~ fixedclk_enable)) & fixedclk)), ((((fixedclk_sel & fixedclk_enable) & fixedclk_fast[2]) & fixedclk_div_in) | (((~ fixedclk_sel) & (~ fixedclk_enable)) & fixedclk)), ((((fixedclk_sel & fixedclk_enable) & fixedclk_fast[1]) & fixedclk_div_in) | (((~ fixedclk_sel) & (~ fixedclk_enable)) & fixedclk)), ((((fixedclk_sel & fixedclk_enable) & fixedclk_fast[0]) & fixedclk_div_in) | (((~ fixedclk_sel) & (~ fixedclk_enable)) & fixedclk))},
883
                nonusertocmu_out = {wire_cal_blk0_nonusertocmu},
884
                pll_locked = {wire_pll0_locked},
885
                pll_powerdown = 1'b0,
886
                reconfig_fromgxb = {rx_pma_analogtestbus[4:1], wire_cent_unit0_dprioout},
887
                reconfig_togxb_busy = reconfig_togxb[3],
888
                reconfig_togxb_disable = reconfig_togxb[1],
889
                reconfig_togxb_in = reconfig_togxb[0],
890
                reconfig_togxb_load = reconfig_togxb[2],
891
                rx_analogreset_in = {{3{1'b0}}, ((~ reconfig_togxb_busy) & rx_analogreset[0])},
892
                rx_analogreset_out = {wire_cent_unit0_rxanalogresetout[3:0]},
893
                rx_clkout = {rx_clkout_wire[0]},
894
                rx_clkout_wire = {wire_receive_pcs0_clkout},
895
                rx_coreclk_in = {rx_clkout_wire[0]},
896
                rx_ctrldetect = {wire_receive_pcs0_ctrldetect[0]},
897
                rx_dataout = {rx_out_wire[7:0]},
898
                rx_deserclock_in = {wire_pll0_icdrclk},
899
                rx_digitalreset_in = {{3{1'b0}}, rx_digitalreset[0]},
900
                rx_digitalreset_out = {wire_cent_unit0_rxdigitalresetout[3:0]},
901
                rx_disperr = {wire_receive_pcs0_disperr[0]},
902
                rx_enapatternalign = 1'b0,
903
                rx_errdetect = {wire_receive_pcs0_errdetect[0]},
904
                rx_freqlocked = {(wire_receive_pma0_freqlocked & (~ rx_analogreset[0]))},
905
                rx_locktodata = 1'b0,
906
                rx_locktorefclk = 1'b0,
907
                rx_locktorefclk_wire = {wire_receive_pcs0_cdrctrllocktorefclkout},
908
                rx_out_wire = {wire_receive_pcs0_dataout[7:0]},
909
                rx_patterndetect = {wire_receive_pcs0_patterndetect[0]},
910
                rx_pcsdprioin_wire = {{1200{1'b0}}, cent_unit_rxpcsdprioout[399:0]},
911
                rx_pcsdprioout = {{1200{1'b0}}, wire_receive_pcs0_dprioout},
912
                rx_phfifordenable = 1'b1,
913
                rx_phfiforeset = 1'b0,
914
                rx_phfifowrdisable = 1'b0,
915
                rx_pll_pfdrefclkout_wire = {wire_pll0_fref},
916
                rx_pma_analogtestbus = {{4{1'b0}}, wire_receive_pma0_analogtestbus[6]},
917
                rx_pma_clockout = {wire_receive_pma0_clockout},
918
                rx_pma_recoverdataout_wire = {wire_receive_pma0_recoverdataout[9:0]},
919
                rx_pmadprioin_wire = {{900{1'b0}}, cent_unit_rxpmadprioout[299:0]},
920
                rx_pmadprioout = {{900{1'b0}}, wire_receive_pma0_dprioout},
921
                rx_powerdown = 1'b0,
922
                rx_powerdown_in = {{3{1'b0}}, rx_powerdown[0]},
923
                rx_prbscidenable = 1'b0,
924
                rx_recovclkout = {rx_pma_clockout[0]},
925
                rx_reverselpbkout = {wire_receive_pma0_reverselpbkout},
926
                rx_rlv = {wire_receive_pcs0_rlv},
927
                rx_rmfifodatadeleted = {wire_receive_pcs0_rmfifodatadeleted[0]},
928
                rx_rmfifodatainserted = {wire_receive_pcs0_rmfifodatainserted[0]},
929
                rx_runningdisp = {wire_receive_pcs0_runningdisp[0]},
930
                rx_signaldetect_wire = {wire_receive_pma0_signaldetect},
931
                rx_syncstatus = {wire_receive_pcs0_syncstatus[0]},
932
                tx_analogreset_out = {wire_cent_unit0_txanalogresetout[3:0]},
933
                tx_clkout = {tx_core_clkout_wire[0]},
934
                tx_clkout_int_wire = {wire_transmit_pcs0_clkout},
935
                tx_core_clkout_wire = {tx_clkout_int_wire[0]},
936
                tx_coreclk_in = {tx_clkout_int_wire[0]},
937
                tx_datain_wire = {tx_datain[7:0]},
938
                tx_dataout = {txdataout[0]},
939
                tx_dataout_pcs_to_pma = {wire_transmit_pcs0_dataout[9:0]},
940
                tx_diagnosticlpbkin = {wire_receive_pma0_diagnosticlpbkout},
941
                tx_digitalreset_in = {{3{1'b0}}, tx_digitalreset[0]},
942
                tx_digitalreset_out = {wire_cent_unit0_txdigitalresetout[3:0]},
943
                tx_dprioin_wire = {{450{1'b0}}, cent_unit_txdprioout[149:0]},
944
                tx_forcedisp_wire = {1'b0},
945
                tx_invpolarity = 1'b0,
946
                tx_localrefclk = {wire_transmit_pma0_clockout},
947
                tx_phfiforeset = 1'b0,
948
                tx_pma_fastrefclk0in = {wire_pll0_clk[0]},
949
                tx_pma_refclk0in = {wire_pll0_clk[1]},
950
                tx_pma_refclk0inpulse = {wire_pll0_clk[2]},
951
                tx_pmadprioin_wire = {{900{1'b0}}, cent_unit_txpmadprioout[299:0]},
952
                tx_pmadprioout = {{900{1'b0}}, wire_transmit_pma0_dprioout},
953
                tx_serialloopbackout = {wire_transmit_pma0_seriallpbkout},
954
                tx_txdprioout = {{450{1'b0}}, wire_transmit_pcs0_dprioout},
955
                txdataout = {wire_transmit_pma0_dataout},
956
                txdetectrxout = {wire_transmit_pcs0_txdetectrx},
957
                w_cent_unit_dpriodisableout1w = {wire_cent_unit0_dpriodisableout};
958 20 jefflieu
endmodule //altera_tse_altgx_civgx_gige_wo_rmfifo_alt_c3gxb_ut08
959 9 jefflieu
//VALID FILE
960
 
961
 
962
// synopsys translate_off
963
`timescale 1 ps / 1 ps
964
// synopsys translate_on
965
module altera_tse_altgx_civgx_gige_wo_rmfifo (
966
        cal_blk_clk,
967
        fixedclk,
968
        fixedclk_fast,
969
        gxb_powerdown,
970
        pll_areset,
971
        pll_inclk,
972
        reconfig_clk,
973
        reconfig_togxb,
974
        rx_analogreset,
975
        rx_datain,
976
        rx_digitalreset,
977
        tx_ctrlenable,
978
        tx_datain,
979
        tx_digitalreset,
980
        pll_locked,
981
        reconfig_fromgxb,
982
        rx_clkout,
983
        rx_ctrldetect,
984
        rx_dataout,
985
        rx_disperr,
986
        rx_errdetect,
987
        rx_freqlocked,
988
        rx_patterndetect,
989
        rx_recovclkout,
990
        rx_rlv,
991
        rx_rmfifodatadeleted,
992
        rx_rmfifodatainserted,
993
        rx_runningdisp,
994
        rx_syncstatus,
995
        tx_clkout,
996
        tx_dataout)/* synthesis synthesis_clearbox = 2 */;
997
 
998
        input     cal_blk_clk;
999
        input     fixedclk;
1000 20 jefflieu
        input   [5:0]  fixedclk_fast;
1001 9 jefflieu
        input   [0:0]  gxb_powerdown;
1002
        input   [0:0]  pll_areset;
1003
        input   [0:0]  pll_inclk;
1004
        input     reconfig_clk;
1005
        input   [3:0]  reconfig_togxb;
1006
        input   [0:0]  rx_analogreset;
1007
        input   [0:0]  rx_datain;
1008
        input   [0:0]  rx_digitalreset;
1009
        input   [0:0]  tx_ctrlenable;
1010
        input   [7:0]  tx_datain;
1011
        input   [0:0]  tx_digitalreset;
1012
        output  [0:0]  pll_locked;
1013
        output  [4:0]  reconfig_fromgxb;
1014
        output    rx_clkout;
1015
        output  [0:0]  rx_ctrldetect;
1016
        output  [7:0]  rx_dataout;
1017
        output  [0:0]  rx_disperr;
1018
        output  [0:0]  rx_errdetect;
1019
        output  [0:0]  rx_freqlocked;
1020
        output  [0:0]  rx_patterndetect;
1021
        output  [0:0]  rx_recovclkout;
1022
        output  [0:0]  rx_rlv;
1023
        output  [0:0]  rx_rmfifodatadeleted;
1024
        output  [0:0]  rx_rmfifodatainserted;
1025
        output  [0:0]  rx_runningdisp;
1026
        output  [0:0]  rx_syncstatus;
1027
        output  [0:0]  tx_clkout;
1028
        output  [0:0]  tx_dataout;
1029
 
1030
        parameter               starting_channel_number = 0;
1031
 
1032
 
1033
        wire [0:0] sub_wire0;
1034
        wire [0:0] sub_wire1;
1035
        wire [4:0] sub_wire2;
1036
        wire [0:0] sub_wire3;
1037
        wire [0:0] sub_wire4;
1038
        wire [0:0] sub_wire5;
1039
        wire [0:0] sub_wire6;
1040
        wire [0:0] sub_wire7;
1041
        wire  sub_wire8;
1042
        wire [7:0] sub_wire9;
1043
        wire [0:0] sub_wire10;
1044
        wire [0:0] sub_wire11;
1045
        wire [0:0] sub_wire12;
1046
        wire [0:0] sub_wire13;
1047
        wire [0:0] sub_wire14;
1048
        wire [0:0] sub_wire15;
1049
        wire [0:0] sub_wire16;
1050
        wire [0:0] rx_patterndetect = sub_wire0[0:0];
1051
        wire [0:0] pll_locked = sub_wire1[0:0];
1052
        wire [4:0] reconfig_fromgxb = sub_wire2[4:0];
1053
        wire [0:0] rx_freqlocked = sub_wire3[0:0];
1054
        wire [0:0] rx_disperr = sub_wire4[0:0];
1055
        wire [0:0] rx_recovclkout = sub_wire5[0:0];
1056
        wire [0:0] rx_runningdisp = sub_wire6[0:0];
1057
        wire [0:0] rx_syncstatus = sub_wire7[0:0];
1058
        wire  rx_clkout = sub_wire8;
1059
        wire [7:0] rx_dataout = sub_wire9[7:0];
1060
        wire [0:0] rx_errdetect = sub_wire10[0:0];
1061
        wire [0:0] rx_rmfifodatainserted = sub_wire11[0:0];
1062
        wire [0:0] rx_rlv = sub_wire12[0:0];
1063
        wire [0:0] rx_rmfifodatadeleted = sub_wire13[0:0];
1064
        wire [0:0] tx_clkout = sub_wire14[0:0];
1065
        wire [0:0] tx_dataout = sub_wire15[0:0];
1066
        wire [0:0] rx_ctrldetect = sub_wire16[0:0];
1067
 
1068 20 jefflieu
        altera_tse_altgx_civgx_gige_wo_rmfifo_alt_c3gxb_ut08    altera_tse_altgx_civgx_gige_wo_rmfifo_alt_c3gxb_ut08_component (
1069 9 jefflieu
                                .pll_inclk (pll_inclk),
1070
                                .reconfig_togxb (reconfig_togxb),
1071
                                .cal_blk_clk (cal_blk_clk),
1072
                                .fixedclk (fixedclk),
1073
                                .rx_datain (rx_datain),
1074
                                .rx_digitalreset (rx_digitalreset),
1075
                                .pll_areset (pll_areset),
1076
                                .tx_datain (tx_datain),
1077
                                .tx_digitalreset (tx_digitalreset),
1078
                                .gxb_powerdown (gxb_powerdown),
1079
                                .reconfig_clk (reconfig_clk),
1080
                                .rx_analogreset (rx_analogreset),
1081
                                .fixedclk_fast (fixedclk_fast),
1082
                                .tx_ctrlenable (tx_ctrlenable),
1083
                                .rx_patterndetect (sub_wire0),
1084
                                .pll_locked (sub_wire1),
1085
                                .reconfig_fromgxb (sub_wire2),
1086
                                .rx_freqlocked (sub_wire3),
1087
                                .rx_disperr (sub_wire4),
1088
                                .rx_recovclkout (sub_wire5),
1089
                                .rx_runningdisp (sub_wire6),
1090
                                .rx_syncstatus (sub_wire7),
1091
                                .rx_clkout (sub_wire8),
1092
                                .rx_dataout (sub_wire9),
1093
                                .rx_errdetect (sub_wire10),
1094
                                .rx_rmfifodatainserted (sub_wire11),
1095
                                .rx_rlv (sub_wire12),
1096
                                .rx_rmfifodatadeleted (sub_wire13),
1097
                                .tx_clkout (sub_wire14),
1098
                                .tx_dataout (sub_wire15),
1099
                                .rx_ctrldetect (sub_wire16))/* synthesis synthesis_clearbox=2
1100
         clearbox_macroname = alt_c3gxb
1101 20 jefflieu
         clearbox_defparam = "effective_data_rate=1250.0 Mbps;enable_lc_tx_pll=false;enable_pll_inclk_alt_drive_rx_cru=true;enable_pll_inclk_drive_rx_cru=true;equalizer_dcgain_setting=0;gen_reconfig_pll=false;gx_channel_type=;input_clock_frequency=125.0 MHz;intended_device_family=Cyclone IV GX;intended_device_speed_grade=6;intended_device_variant=ANY;loopback_mode=none;lpm_type=alt_c3gxb;number_of_channels=1;operation_mode=duplex;pll_bandwidth_type=High;pll_control_width=1;pll_inclk_period=8000;pll_pfd_fb_mode=internal;preemphasis_ctrl_1stposttap_setting=1;protocol=gige;receiver_termination=oct_100_ohms;reconfig_dprio_mode=0;rx_8b_10b_mode=normal;rx_align_pattern=1111100;rx_align_pattern_length=7;rx_allow_align_polarity_inversion=false;rx_allow_pipe_polarity_inversion=false;rx_bitslip_enable=false;rx_byte_ordering_mode=NONE;rx_channel_width=8;rx_common_mode=0.82v;rx_cru_inclock0_period=8000;rx_datapath_protocol=basic;rx_data_rate=1250;rx_data_rate_remainder=0;rx_digitalreset_port_width=1;rx_enable_bit_reversal=false;rx_enable_lock_to_data_sig=false;rx_enable_lock_to_refclk_sig=false;rx_enable_self_test_mode=false;rx_force_signal_detect=true;rx_ppmselect=8;rx_rate_match_fifo_mode=normal;rx_rate_match_fifo_mode_manual_control=none;rx_rate_match_pattern1=10100010010101111100;rx_rate_match_pattern2=10101011011010000011;rx_rate_match_pattern_size=20;rx_run_length=5;rx_run_length_enable=true;rx_signal_detect_threshold=8;rx_use_align_state_machine=true;rx_use_clkout=true;rx_use_coreclk=false;
1102 9 jefflieu
                              rx_use_deserializer_double_data_mode=false;rx_use_deskew_fifo=false;rx_use_double_data_mode=false;rx_use_rate_match_pattern1_only=false;transmitter_termination=oct_100_ohms;tx_8b_10b_mode=normal;tx_allow_polarity_inversion=false;tx_channel_width=8;tx_clkout_width=1;tx_common_mode=0.65v;tx_data_rate=1250;tx_data_rate_remainder=0;tx_digitalreset_port_width=1;tx_enable_bit_reversal=false;tx_enable_self_test_mode=false;tx_pll_bandwidth_type=High;tx_pll_inclk0_period=8000;tx_pll_type=CMU;tx_slew_rate=medium;tx_transmit_protocol=basic;tx_use_coreclk=false;tx_use_double_data_mode=false;tx_use_serializer_double_data_mode=false;use_calibration_block=true;vod_ctrl_setting=1;equalization_setting=1;gxb_powerdown_width=1;iqtxrxclk_allowed=;number_of_quads=1;pll_divide_by=1;pll_multiply_by=5;reconfig_calibration=true;reconfig_fromgxb_port_width=5;reconfig_pll_control_width=1;reconfig_togxb_port_width=4;rx_deskew_pattern=0;rx_dwidth_factor=1;rx_enable_second_order_loop=false;rx_loop_1_digital_filter=8;rx_signal_detect_loss_threshold=1;rx_signal_detect_valid_threshold=14;rx_use_external_termination=false;rx_word_aligner_num_byte=1;top_module_name=altera_tse_altgx_civgx_gige_wo_rmfifo;tx_bitslip_enable=FALSE;tx_dwidth_factor=1;tx_use_external_termination=false;" */;
1103
        defparam
1104 20 jefflieu
                altera_tse_altgx_civgx_gige_wo_rmfifo_alt_c3gxb_ut08_component.starting_channel_number = starting_channel_number;
1105 9 jefflieu
 
1106
 
1107
endmodule
1108
 
1109
// ============================================================
1110
// CNX file retrieval info
1111
// ============================================================
1112
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV GX"
1113
// Retrieval info: PRIVATE: IP_MODE STRING "TSE"
1114
// Retrieval info: PRIVATE: LOCKDOWN_EXCL STRING "TSE"
1115
// Retrieval info: PRIVATE: NUM_KEYS NUMERIC "0"
1116
// Retrieval info: PRIVATE: RECONFIG_PROTOCOL STRING "BASIC"
1117
// Retrieval info: PRIVATE: RECONFIG_SUBPROTOCOL STRING "none"
1118
// Retrieval info: PRIVATE: RX_ENABLE_DC_COUPLING STRING "false"
1119
// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
1120
// Retrieval info: PRIVATE: WIZ_BASE_DATA_RATE STRING "1250.0"
1121
// Retrieval info: PRIVATE: WIZ_BASE_DATA_RATE_ENABLE STRING "0"
1122
// Retrieval info: PRIVATE: WIZ_DATA_RATE STRING "1250.0"
1123
// Retrieval info: PRIVATE: WIZ_DPRIO_INCLK_FREQ_ARRAY STRING "62.5 125.0"
1124
// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_A STRING "2000"
1125
// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_A_UNIT STRING "Mbps"
1126
// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_B STRING "100"
1127
// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_B_UNIT STRING "MHz"
1128
// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_SELECTION NUMERIC "0"
1129
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK0_FREQ STRING "100.0"
1130
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK0_PROTOCOL STRING "GIGE"
1131
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK1_FREQ STRING "250"
1132
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK1_PROTOCOL STRING "Basic"
1133
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK2_FREQ STRING "250"
1134
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK2_PROTOCOL STRING "Basic"
1135
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK3_FREQ STRING "250"
1136
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK3_PROTOCOL STRING "Basic"
1137
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK4_FREQ STRING "250"
1138
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK4_PROTOCOL STRING "Basic"
1139
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK5_FREQ STRING "250"
1140
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK5_PROTOCOL STRING "Basic"
1141
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK6_FREQ STRING "250"
1142
// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK6_PROTOCOL STRING "Basic"
1143
// Retrieval info: PRIVATE: WIZ_ENABLE_EQUALIZER_CTRL NUMERIC "0"
1144
// Retrieval info: PRIVATE: WIZ_EQUALIZER_CTRL_SETTING NUMERIC "0"
1145
// Retrieval info: PRIVATE: WIZ_FORCE_DEFAULT_SETTINGS NUMERIC "0"
1146
// Retrieval info: PRIVATE: WIZ_INCLK_FREQ STRING "125.0"
1147
// Retrieval info: PRIVATE: WIZ_INCLK_FREQ_ARRAY STRING "62.5 125.0"
1148
// Retrieval info: PRIVATE: WIZ_INPUT_A STRING "1250.0"
1149
// Retrieval info: PRIVATE: WIZ_INPUT_A_UNIT STRING "Mbps"
1150
// Retrieval info: PRIVATE: WIZ_INPUT_B STRING "125.0"
1151
// Retrieval info: PRIVATE: WIZ_INPUT_B_UNIT STRING "MHz"
1152
// Retrieval info: PRIVATE: WIZ_INPUT_SELECTION NUMERIC "0"
1153
// Retrieval info: PRIVATE: WIZ_PROTOCOL STRING "GIGE"
1154
// Retrieval info: PRIVATE: WIZ_SUBPROTOCOL STRING "None"
1155
// Retrieval info: PRIVATE: WIZ_WORD_ALIGN_FLIP_PATTERN STRING "0"
1156
// Retrieval info: PARAMETER: STARTING_CHANNEL_NUMBER NUMERIC "0"
1157
// Retrieval info: CONSTANT: EFFECTIVE_DATA_RATE STRING "1250.0 Mbps"
1158
// Retrieval info: CONSTANT: ENABLE_LC_TX_PLL STRING "false"
1159
// Retrieval info: CONSTANT: ENABLE_PLL_INCLK_ALT_DRIVE_RX_CRU STRING "true"
1160
// Retrieval info: CONSTANT: ENABLE_PLL_INCLK_DRIVE_RX_CRU STRING "true"
1161
// Retrieval info: CONSTANT: EQUALIZER_DCGAIN_SETTING NUMERIC "0"
1162
// Retrieval info: CONSTANT: GEN_RECONFIG_PLL STRING "false"
1163
// Retrieval info: CONSTANT: GX_CHANNEL_TYPE STRING ""
1164
// Retrieval info: CONSTANT: INPUT_CLOCK_FREQUENCY STRING "125.0 MHz"
1165
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV GX"
1166
// Retrieval info: CONSTANT: INTENDED_DEVICE_SPEED_GRADE STRING "6"
1167
// Retrieval info: CONSTANT: INTENDED_DEVICE_VARIANT STRING "ANY"
1168
// Retrieval info: CONSTANT: LOOPBACK_MODE STRING "none"
1169
// Retrieval info: CONSTANT: LPM_TYPE STRING "alt_c3gxb"
1170
// Retrieval info: CONSTANT: NUMBER_OF_CHANNELS NUMERIC "1"
1171
// Retrieval info: CONSTANT: OPERATION_MODE STRING "duplex"
1172
// Retrieval info: CONSTANT: PLL_BANDWIDTH_TYPE STRING "High"
1173
// Retrieval info: CONSTANT: PLL_CONTROL_WIDTH NUMERIC "1"
1174
// Retrieval info: CONSTANT: PLL_INCLK_PERIOD NUMERIC "8000"
1175
// Retrieval info: CONSTANT: PLL_PFD_FB_MODE STRING "internal"
1176
// Retrieval info: CONSTANT: PREEMPHASIS_CTRL_1STPOSTTAP_SETTING NUMERIC "1"
1177
// Retrieval info: CONSTANT: PROTOCOL STRING "gige"
1178
// Retrieval info: CONSTANT: RECEIVER_TERMINATION STRING "oct_100_ohms"
1179
// Retrieval info: CONSTANT: RECONFIG_DPRIO_MODE NUMERIC "0"
1180
// Retrieval info: CONSTANT: RX_8B_10B_MODE STRING "normal"
1181 20 jefflieu
// Retrieval info: CONSTANT: RX_ALIGN_PATTERN STRING "1111100"
1182
// Retrieval info: CONSTANT: RX_ALIGN_PATTERN_LENGTH NUMERIC "7"
1183 9 jefflieu
// Retrieval info: CONSTANT: RX_ALLOW_ALIGN_POLARITY_INVERSION STRING "false"
1184
// Retrieval info: CONSTANT: RX_ALLOW_PIPE_POLARITY_INVERSION STRING "false"
1185
// Retrieval info: CONSTANT: RX_BITSLIP_ENABLE STRING "false"
1186
// Retrieval info: CONSTANT: RX_BYTE_ORDERING_MODE STRING "NONE"
1187
// Retrieval info: CONSTANT: RX_CHANNEL_WIDTH NUMERIC "8"
1188
// Retrieval info: CONSTANT: RX_COMMON_MODE STRING "0.82v"
1189
// Retrieval info: CONSTANT: RX_CRU_INCLOCK0_PERIOD NUMERIC "8000"
1190
// Retrieval info: CONSTANT: RX_DATAPATH_PROTOCOL STRING "basic"
1191
// Retrieval info: CONSTANT: RX_DATA_RATE NUMERIC "1250"
1192
// Retrieval info: CONSTANT: RX_DATA_RATE_REMAINDER NUMERIC "0"
1193
// Retrieval info: CONSTANT: RX_DIGITALRESET_PORT_WIDTH NUMERIC "1"
1194
// Retrieval info: CONSTANT: RX_ENABLE_BIT_REVERSAL STRING "false"
1195
// Retrieval info: CONSTANT: RX_ENABLE_LOCK_TO_DATA_SIG STRING "false"
1196
// Retrieval info: CONSTANT: RX_ENABLE_LOCK_TO_REFCLK_SIG STRING "false"
1197
// Retrieval info: CONSTANT: RX_ENABLE_SELF_TEST_MODE STRING "false"
1198
// Retrieval info: CONSTANT: RX_FORCE_SIGNAL_DETECT STRING "true"
1199
// Retrieval info: CONSTANT: RX_PPMSELECT NUMERIC "8"
1200
// Retrieval info: CONSTANT: RX_RATE_MATCH_FIFO_MODE STRING "normal"
1201
// Retrieval info: CONSTANT: RX_RATE_MATCH_FIFO_MODE_MANUAL_CONTROL STRING "none"
1202
// Retrieval info: CONSTANT: RX_RATE_MATCH_PATTERN1 STRING "10100010010101111100"
1203
// Retrieval info: CONSTANT: RX_RATE_MATCH_PATTERN2 STRING "10101011011010000011"
1204
// Retrieval info: CONSTANT: RX_RATE_MATCH_PATTERN_SIZE NUMERIC "20"
1205
// Retrieval info: CONSTANT: RX_RUN_LENGTH NUMERIC "5"
1206
// Retrieval info: CONSTANT: RX_RUN_LENGTH_ENABLE STRING "true"
1207
// Retrieval info: CONSTANT: RX_SIGNAL_DETECT_THRESHOLD NUMERIC "8"
1208
// Retrieval info: CONSTANT: RX_USE_ALIGN_STATE_MACHINE STRING "true"
1209
// Retrieval info: CONSTANT: RX_USE_CLKOUT STRING "true"
1210
// Retrieval info: CONSTANT: RX_USE_CORECLK STRING "false"
1211
// Retrieval info: CONSTANT: RX_USE_DESERIALIZER_DOUBLE_DATA_MODE STRING "false"
1212
// Retrieval info: CONSTANT: RX_USE_DESKEW_FIFO STRING "false"
1213
// Retrieval info: CONSTANT: RX_USE_DOUBLE_DATA_MODE STRING "false"
1214
// Retrieval info: CONSTANT: RX_USE_RATE_MATCH_PATTERN1_ONLY STRING "false"
1215
// Retrieval info: CONSTANT: TRANSMITTER_TERMINATION STRING "oct_100_ohms"
1216
// Retrieval info: CONSTANT: TX_8B_10B_MODE STRING "normal"
1217
// Retrieval info: CONSTANT: TX_ALLOW_POLARITY_INVERSION STRING "false"
1218
// Retrieval info: CONSTANT: TX_CHANNEL_WIDTH NUMERIC "8"
1219
// Retrieval info: CONSTANT: TX_CLKOUT_WIDTH NUMERIC "1"
1220
// Retrieval info: CONSTANT: TX_COMMON_MODE STRING "0.65v"
1221
// Retrieval info: CONSTANT: TX_DATA_RATE NUMERIC "1250"
1222
// Retrieval info: CONSTANT: TX_DATA_RATE_REMAINDER NUMERIC "0"
1223
// Retrieval info: CONSTANT: TX_DIGITALRESET_PORT_WIDTH NUMERIC "1"
1224
// Retrieval info: CONSTANT: TX_ENABLE_BIT_REVERSAL STRING "false"
1225
// Retrieval info: CONSTANT: TX_ENABLE_SELF_TEST_MODE STRING "false"
1226
// Retrieval info: CONSTANT: TX_PLL_BANDWIDTH_TYPE STRING "High"
1227
// Retrieval info: CONSTANT: TX_PLL_INCLK0_PERIOD NUMERIC "8000"
1228
// Retrieval info: CONSTANT: TX_PLL_TYPE STRING "CMU"
1229
// Retrieval info: CONSTANT: TX_SLEW_RATE STRING "medium"
1230
// Retrieval info: CONSTANT: TX_TRANSMIT_PROTOCOL STRING "basic"
1231
// Retrieval info: CONSTANT: TX_USE_CORECLK STRING "false"
1232
// Retrieval info: CONSTANT: TX_USE_DOUBLE_DATA_MODE STRING "false"
1233
// Retrieval info: CONSTANT: TX_USE_SERIALIZER_DOUBLE_DATA_MODE STRING "false"
1234
// Retrieval info: CONSTANT: USE_CALIBRATION_BLOCK STRING "true"
1235
// Retrieval info: CONSTANT: VOD_CTRL_SETTING NUMERIC "1"
1236
// Retrieval info: CONSTANT: equalization_setting NUMERIC "1"
1237
// Retrieval info: CONSTANT: gxb_powerdown_width NUMERIC "1"
1238
// Retrieval info: CONSTANT: iqtxrxclk_allowed STRING ""
1239
// Retrieval info: CONSTANT: number_of_quads NUMERIC "1"
1240
// Retrieval info: CONSTANT: pll_divide_by STRING "1"
1241
// Retrieval info: CONSTANT: pll_multiply_by STRING "5"
1242
// Retrieval info: CONSTANT: reconfig_calibration STRING "true"
1243
// Retrieval info: CONSTANT: reconfig_fromgxb_port_width NUMERIC "5"
1244
// Retrieval info: CONSTANT: reconfig_pll_control_width NUMERIC "1"
1245
// Retrieval info: CONSTANT: reconfig_togxb_port_width NUMERIC "4"
1246
// Retrieval info: CONSTANT: rx_deskew_pattern STRING "0"
1247
// Retrieval info: CONSTANT: rx_dwidth_factor NUMERIC "1"
1248
// Retrieval info: CONSTANT: rx_enable_second_order_loop STRING "false"
1249
// Retrieval info: CONSTANT: rx_loop_1_digital_filter NUMERIC "8"
1250
// Retrieval info: CONSTANT: rx_signal_detect_loss_threshold STRING "1"
1251
// Retrieval info: CONSTANT: rx_signal_detect_valid_threshold STRING "14"
1252
// Retrieval info: CONSTANT: rx_use_external_termination STRING "false"
1253
// Retrieval info: CONSTANT: rx_word_aligner_num_byte NUMERIC "1"
1254
// Retrieval info: CONSTANT: top_module_name STRING "altera_tse_altgx_civgx_gige_wo_rmfifo"
1255
// Retrieval info: CONSTANT: tx_bitslip_enable STRING "FALSE"
1256
// Retrieval info: CONSTANT: tx_dwidth_factor NUMERIC "1"
1257
// Retrieval info: CONSTANT: tx_use_external_termination STRING "false"
1258
// Retrieval info: USED_PORT: cal_blk_clk 0 0 0 0 INPUT NODEFVAL "cal_blk_clk"
1259
// Retrieval info: USED_PORT: fixedclk 0 0 0 0 INPUT NODEFVAL "fixedclk"
1260 20 jefflieu
// Retrieval info: USED_PORT: fixedclk_fast 0 0 6 0 INPUT NODEFVAL "fixedclk_fast[5..0]"
1261 9 jefflieu
// Retrieval info: USED_PORT: gxb_powerdown 0 0 1 0 INPUT NODEFVAL "gxb_powerdown[0..0]"
1262
// Retrieval info: USED_PORT: pll_areset 0 0 1 0 INPUT NODEFVAL "pll_areset[0..0]"
1263
// Retrieval info: USED_PORT: pll_inclk 0 0 1 0 INPUT NODEFVAL "pll_inclk[0..0]"
1264
// Retrieval info: USED_PORT: pll_locked 0 0 1 0 OUTPUT NODEFVAL "pll_locked[0..0]"
1265
// Retrieval info: USED_PORT: reconfig_clk 0 0 0 0 INPUT NODEFVAL "reconfig_clk"
1266
// Retrieval info: USED_PORT: reconfig_fromgxb 0 0 5 0 OUTPUT NODEFVAL "reconfig_fromgxb[4..0]"
1267
// Retrieval info: USED_PORT: reconfig_togxb 0 0 4 0 INPUT NODEFVAL "reconfig_togxb[3..0]"
1268
// Retrieval info: USED_PORT: rx_analogreset 0 0 1 0 INPUT NODEFVAL "rx_analogreset[0..0]"
1269
// Retrieval info: USED_PORT: rx_clkout 0 0 0 0 OUTPUT NODEFVAL "rx_clkout"
1270
// Retrieval info: USED_PORT: rx_ctrldetect 0 0 1 0 OUTPUT NODEFVAL "rx_ctrldetect[0..0]"
1271
// Retrieval info: USED_PORT: rx_datain 0 0 1 0 INPUT NODEFVAL "rx_datain[0..0]"
1272
// Retrieval info: USED_PORT: rx_dataout 0 0 8 0 OUTPUT NODEFVAL "rx_dataout[7..0]"
1273
// Retrieval info: USED_PORT: rx_digitalreset 0 0 1 0 INPUT NODEFVAL "rx_digitalreset[0..0]"
1274
// Retrieval info: USED_PORT: rx_disperr 0 0 1 0 OUTPUT NODEFVAL "rx_disperr[0..0]"
1275
// Retrieval info: USED_PORT: rx_errdetect 0 0 1 0 OUTPUT NODEFVAL "rx_errdetect[0..0]"
1276
// Retrieval info: USED_PORT: rx_freqlocked 0 0 1 0 OUTPUT NODEFVAL "rx_freqlocked[0..0]"
1277
// Retrieval info: USED_PORT: rx_patterndetect 0 0 1 0 OUTPUT NODEFVAL "rx_patterndetect[0..0]"
1278
// Retrieval info: USED_PORT: rx_recovclkout 0 0 1 0 OUTPUT NODEFVAL "rx_recovclkout[0..0]"
1279
// Retrieval info: USED_PORT: rx_rlv 0 0 1 0 OUTPUT NODEFVAL "rx_rlv[0..0]"
1280
// Retrieval info: USED_PORT: rx_rmfifodatadeleted 0 0 1 0 OUTPUT NODEFVAL "rx_rmfifodatadeleted[0..0]"
1281
// Retrieval info: USED_PORT: rx_rmfifodatainserted 0 0 1 0 OUTPUT NODEFVAL "rx_rmfifodatainserted[0..0]"
1282
// Retrieval info: USED_PORT: rx_runningdisp 0 0 1 0 OUTPUT NODEFVAL "rx_runningdisp[0..0]"
1283
// Retrieval info: USED_PORT: rx_syncstatus 0 0 1 0 OUTPUT NODEFVAL "rx_syncstatus[0..0]"
1284
// Retrieval info: USED_PORT: tx_clkout 0 0 1 0 OUTPUT NODEFVAL "tx_clkout[0..0]"
1285
// Retrieval info: USED_PORT: tx_ctrlenable 0 0 1 0 INPUT NODEFVAL "tx_ctrlenable[0..0]"
1286
// Retrieval info: USED_PORT: tx_datain 0 0 8 0 INPUT NODEFVAL "tx_datain[7..0]"
1287
// Retrieval info: USED_PORT: tx_dataout 0 0 1 0 OUTPUT NODEFVAL "tx_dataout[0..0]"
1288
// Retrieval info: USED_PORT: tx_digitalreset 0 0 1 0 INPUT NODEFVAL "tx_digitalreset[0..0]"
1289
// Retrieval info: CONNECT: @cal_blk_clk 0 0 0 0 cal_blk_clk 0 0 0 0
1290
// Retrieval info: CONNECT: @fixedclk 0 0 0 0 fixedclk 0 0 0 0
1291 20 jefflieu
// Retrieval info: CONNECT: @fixedclk_fast 0 0 6 0 fixedclk_fast 0 0 6 0
1292 9 jefflieu
// Retrieval info: CONNECT: @gxb_powerdown 0 0 1 0 gxb_powerdown 0 0 1 0
1293
// Retrieval info: CONNECT: @pll_areset 0 0 1 0 pll_areset 0 0 1 0
1294
// Retrieval info: CONNECT: @pll_inclk 0 0 1 0 pll_inclk 0 0 1 0
1295
// Retrieval info: CONNECT: @reconfig_clk 0 0 0 0 reconfig_clk 0 0 0 0
1296
// Retrieval info: CONNECT: @reconfig_togxb 0 0 4 0 reconfig_togxb 0 0 4 0
1297
// Retrieval info: CONNECT: @rx_analogreset 0 0 1 0 rx_analogreset 0 0 1 0
1298
// Retrieval info: CONNECT: @rx_datain 0 0 1 0 rx_datain 0 0 1 0
1299
// Retrieval info: CONNECT: @rx_digitalreset 0 0 1 0 rx_digitalreset 0 0 1 0
1300
// Retrieval info: CONNECT: @tx_ctrlenable 0 0 1 0 tx_ctrlenable 0 0 1 0
1301
// Retrieval info: CONNECT: @tx_datain 0 0 8 0 tx_datain 0 0 8 0
1302
// Retrieval info: CONNECT: @tx_digitalreset 0 0 1 0 tx_digitalreset 0 0 1 0
1303
// Retrieval info: CONNECT: pll_locked 0 0 1 0 @pll_locked 0 0 1 0
1304
// Retrieval info: CONNECT: reconfig_fromgxb 0 0 5 0 @reconfig_fromgxb 0 0 5 0
1305
// Retrieval info: CONNECT: rx_clkout 0 0 0 0 @rx_clkout 0 0 0 0
1306
// Retrieval info: CONNECT: rx_ctrldetect 0 0 1 0 @rx_ctrldetect 0 0 1 0
1307
// Retrieval info: CONNECT: rx_dataout 0 0 8 0 @rx_dataout 0 0 8 0
1308
// Retrieval info: CONNECT: rx_disperr 0 0 1 0 @rx_disperr 0 0 1 0
1309
// Retrieval info: CONNECT: rx_errdetect 0 0 1 0 @rx_errdetect 0 0 1 0
1310
// Retrieval info: CONNECT: rx_freqlocked 0 0 1 0 @rx_freqlocked 0 0 1 0
1311
// Retrieval info: CONNECT: rx_patterndetect 0 0 1 0 @rx_patterndetect 0 0 1 0
1312
// Retrieval info: CONNECT: rx_recovclkout 0 0 1 0 @rx_recovclkout 0 0 1 0
1313
// Retrieval info: CONNECT: rx_rlv 0 0 1 0 @rx_rlv 0 0 1 0
1314
// Retrieval info: CONNECT: rx_rmfifodatadeleted 0 0 1 0 @rx_rmfifodatadeleted 0 0 1 0
1315
// Retrieval info: CONNECT: rx_rmfifodatainserted 0 0 1 0 @rx_rmfifodatainserted 0 0 1 0
1316
// Retrieval info: CONNECT: rx_runningdisp 0 0 1 0 @rx_runningdisp 0 0 1 0
1317
// Retrieval info: CONNECT: rx_syncstatus 0 0 1 0 @rx_syncstatus 0 0 1 0
1318
// Retrieval info: CONNECT: tx_clkout 0 0 1 0 @tx_clkout 0 0 1 0
1319
// Retrieval info: CONNECT: tx_dataout 0 0 1 0 @tx_dataout 0 0 1 0
1320
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_altgx_civgx_gige_wo_rmfifo.v TRUE
1321
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_altgx_civgx_gige_wo_rmfifo.ppf TRUE
1322
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_altgx_civgx_gige_wo_rmfifo.inc FALSE
1323
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_altgx_civgx_gige_wo_rmfifo.cmp FALSE
1324
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_altgx_civgx_gige_wo_rmfifo.bsf FALSE
1325
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_altgx_civgx_gige_wo_rmfifo_inst.v FALSE
1326
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_altgx_civgx_gige_wo_rmfifo_bb.v TRUE
1327
// Retrieval info: LIB_FILE: altera_mf
1328
// Retrieval info: LIB_FILE: cycloneiv_hssi

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.