OpenCores
URL https://opencores.org/ocsvn/sgmii/sgmii/trunk

Subversion Repositories sgmii

[/] [sgmii/] [trunk/] [sim/] [BFMs/] [SGMII_altera/] [triple_speed_ethernet-library/] [altera_tse_gxb_gige_phyip_inst.v] - Blame information for rev 9

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 jefflieu
// -------------------------------------------------------------------------
2
// -------------------------------------------------------------------------
3
//
4
// Revision Control Information
5
//
6
// $RCSfile: altera_tse_gxb_gige_inst.v,v $
7
// $Source: /ipbu/cvs/sio/projects/TriSpeedEthernet/src/RTL/Top_level_modules/altera_tse_gxb_gige_phyip_inst.v,v $
8
//
9
// $Revision: #23 $
10
// $Date: 2010/09/05 $
11
// Check in by : $Author: sxsaw $
12
// Author      : Siew Kong NG
13
//
14
// Project     : Triple Speed Ethernet - 1000 BASE-X PCS
15
//
16
// Description : 
17
//
18
// Instantiation for Alt2gxb, Alt4gxb
19
 
20
// 
21
// ALTERA Confidential and Proprietary
22
// Copyright 2007 (c) Altera Corporation
23
// All rights reserved
24
//
25
// -------------------------------------------------------------------------
26
// -------------------------------------------------------------------------
27
 
28
//Legal Notice: (C)2007 Altera Corporation. All rights reserved.  Your
29
//use of Altera Corporation's design tools, logic functions and other
30
//software and tools, and its AMPP partner logic functions, and any
31
//output files any of the foregoing (including device programming or
32
//simulation files), and any associated documentation or information are
33
//expressly subject to the terms and conditions of the Altera Program
34
//License Subscription Agreement or other applicable license agreement,
35
//including, without limitation, that your use is for the sole purpose
36
//of programming logic devices manufactured by Altera and sold by Altera
37
//or its authorized distributors.  Please refer to the applicable
38
//agreement for further details.
39
 
40
module altera_tse_gxb_gige_phyip_inst (
41
        phy_mgmt_clk,
42
        phy_mgmt_clk_reset,
43
        phy_mgmt_address,
44
        phy_mgmt_read,
45
        phy_mgmt_readdata,
46
        phy_mgmt_waitrequest,
47
        phy_mgmt_write,
48
        phy_mgmt_writedata,
49
        tx_ready,
50
        rx_ready,
51
        pll_ref_clk,
52
        pll_locked,
53
        tx_serial_data,
54
        rx_serial_data,
55
        rx_runningdisp,
56
        rx_disperr,
57
        rx_errdetect,
58
        rx_patterndetect,
59
        rx_syncstatus,
60
        tx_clkout,
61
        rx_clkout,
62
        tx_parallel_data,
63
        tx_datak,
64
        rx_parallel_data,
65
        rx_datak,
66
        rx_rlv,
67
        rx_recovclkout,
68
        rx_rmfifodatadeleted,
69
        rx_rmfifodatainserted,
70
        reconfig_togxb,
71
        reconfig_fromgxb
72
);
73
parameter DEVICE_FAMILY           = "STRATIXV";    //  The device family the the core is targetted for.
74
parameter ENABLE_ALT_RECONFIG     = 0;
75
parameter ENABLE_SGMII            = 1;            //  Use to determine rate match FIFO in ALTGX GIGE mode
76
 
77
input phy_mgmt_clk;
78
input phy_mgmt_clk_reset;
79
input [8:0]phy_mgmt_address;
80
input phy_mgmt_read;
81
output [31:0]phy_mgmt_readdata;
82
output phy_mgmt_waitrequest;
83
input phy_mgmt_write;
84
input [31:0]phy_mgmt_writedata;
85
output tx_ready;
86
output rx_ready;
87
input pll_ref_clk;
88
output pll_locked;
89
output tx_serial_data;
90
input rx_serial_data;
91
output rx_runningdisp;
92
output rx_disperr;
93
output rx_errdetect;
94
output rx_patterndetect;
95
output rx_syncstatus;
96
output tx_clkout;
97
output rx_clkout;
98
input [7:0] tx_parallel_data;
99
input  tx_datak;
100
output [7:0] rx_parallel_data;
101
output rx_datak;
102
output rx_rlv;
103
output rx_recovclkout;
104
output rx_rmfifodatadeleted;
105
output rx_rmfifodatainserted;
106
input [139:0]reconfig_togxb;
107
output [91:0]reconfig_fromgxb;
108
 
109
  wire    [91:0] reconfig_fromgxb;
110
  wire    [139:0] wire_reconfig_togxb;
111
 
112
  (* altera_attribute = "-name MESSAGE_DISABLE 10036" *)
113
  wire    [91:0] wire_reconfig_fromgxb;
114
 
115
 
116
  generate if (ENABLE_ALT_RECONFIG == 0)
117
                begin
118
 
119
                         assign wire_reconfig_togxb = 140'd0;
120
                         assign reconfig_fromgxb = 92'd0;
121
 
122
                end
123
  else
124
                begin
125
 
126
                         assign wire_reconfig_togxb = reconfig_togxb;
127
                         assign reconfig_fromgxb = wire_reconfig_fromgxb;
128
 
129
                end
130
  endgenerate
131
 
132
        generate if (ENABLE_SGMII == 0)
133
        begin
134
 
135
         altera_tse_phyip_gxb the_altera_tse_phyip_gxb (
136
        .phy_mgmt_clk(phy_mgmt_clk),                 //       phy_mgmt_clk.clk
137
        .phy_mgmt_clk_reset(phy_mgmt_clk_reset),     // phy_mgmt_clk_reset.reset
138
        .phy_mgmt_address(phy_mgmt_address),         //           phy_mgmt.address
139
        .phy_mgmt_read(phy_mgmt_read),               //                   .read
140
        .phy_mgmt_readdata(phy_mgmt_readdata),       //                   .readdata
141
        .phy_mgmt_waitrequest(phy_mgmt_waitrequest), //                   .waitrequest
142
        .phy_mgmt_write(phy_mgmt_write),             //                   .write
143
        .phy_mgmt_writedata(phy_mgmt_writedata),     //                   .writedata
144
        .tx_ready(tx_ready),                         //           tx_ready.export
145
        .rx_ready(rx_ready),                         //           rx_ready.export
146
        .pll_ref_clk(pll_ref_clk),                   //        pll_ref_clk.clk
147
        .pll_locked(pll_locked),                     //         pll_locked.export
148
        .tx_serial_data(tx_serial_data),             //     tx_serial_data.export
149
        .rx_serial_data(rx_serial_data),             //     rx_serial_data.export
150
        .rx_runningdisp(rx_runningdisp),             //     rx_runningdisp.export
151
        .rx_disperr(rx_disperr),                     //         rx_disperr.export
152
        .rx_errdetect(rx_errdetect),                 //       rx_errdetect.export
153
        .rx_patterndetect(rx_patterndetect),         //   rx_patterndetect.export
154
        .rx_syncstatus(rx_syncstatus),               //       rx_syncstatus.export
155
        .tx_clkout(tx_clkout),                       //          tx_clkout.clk
156
        .rx_clkout(rx_clkout),                       //         rx_clkout.clk
157
        .tx_parallel_data(tx_parallel_data),         //  tx_parallel_data.data
158
        .tx_datak(tx_datak),                         //          tx_datak.data
159
        .rx_parallel_data(rx_parallel_data),         //  rx_parallel_data.data
160
        .rx_datak(rx_datak),                         //          rx_datak.data
161
        .rx_rlv(rx_rlv),
162
        .rx_recovered_clk(rx_recovclkout),
163
        .rx_rmfifodatadeleted(rx_rmfifodatadeleted),
164
        .rx_rmfifodatainserted(rx_rmfifodatainserted),
165
        .reconfig_to_xcvr(wire_reconfig_togxb),
166
        .reconfig_from_xcvr(wire_reconfig_fromgxb)
167
    );
168
 
169
        end
170
        endgenerate
171
 
172
   generate if (ENABLE_SGMII == 1)
173
        begin
174
 
175
        altera_tse_phyip_gxb_wo_rmfifo the_altera_tse_phyip_gxb_wo_rmfifo (
176
        .phy_mgmt_clk(phy_mgmt_clk),                 //       phy_mgmt_clk.clk
177
        .phy_mgmt_clk_reset(phy_mgmt_clk_reset),     // phy_mgmt_clk_reset.reset
178
        .phy_mgmt_address(phy_mgmt_address),         //           phy_mgmt.address
179
        .phy_mgmt_read(phy_mgmt_read),               //                   .read
180
        .phy_mgmt_readdata(phy_mgmt_readdata),       //                   .readdata
181
        .phy_mgmt_waitrequest(phy_mgmt_waitrequest), //                   .waitrequest
182
        .phy_mgmt_write(phy_mgmt_write),             //                   .write
183
        .phy_mgmt_writedata(phy_mgmt_writedata),     //                   .writedata
184
        .tx_ready(tx_ready),                         //           tx_ready.export
185
        .rx_ready(rx_ready),                         //           rx_ready.export
186
        .pll_ref_clk(pll_ref_clk),                   //        pll_ref_clk.clk
187
        .pll_locked(pll_locked),                     //         pll_locked.export
188
        .tx_serial_data(tx_serial_data),             //     tx_serial_data.export
189
        .rx_serial_data(rx_serial_data),             //     rx_serial_data.export
190
        .rx_runningdisp(rx_runningdisp),             //     rx_runningdisp.export
191
        .rx_disperr(rx_disperr),                     //         rx_disperr.export
192
        .rx_errdetect(rx_errdetect),                 //       rx_errdetect.export
193
        .rx_patterndetect(rx_patterndetect),         //   rx_patterndetect.export
194
        .rx_syncstatus(rx_syncstatus),               //      rx_syncstatus.export
195
        .tx_clkout(tx_clkout),                       //         tx_clkout.clk
196
        .rx_clkout(rx_clkout),                       //         rx_clkout.clk
197
        .tx_parallel_data(tx_parallel_data),         //  tx_parallel_data.data
198
        .tx_datak(tx_datak),                         //          tx_datak.data
199
        .rx_parallel_data(rx_parallel_data),         //  rx_parallel_data.data
200
        .rx_datak(rx_datak),                         //          rx_datak.data
201
        .rx_rlv(rx_rlv),
202
        .rx_recovered_clk(rx_recovclkout),
203
        .reconfig_to_xcvr(wire_reconfig_togxb),
204
        .reconfig_from_xcvr(wire_reconfig_fromgxb)
205
    );
206
 
207
 
208
        assign rx_rmfifodatadeleted = 1'b0;
209
        assign rx_rmfifodatainserted = 1'b0;
210
 
211
    end
212
    endgenerate
213
 
214
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.