OpenCores
URL https://opencores.org/ocsvn/sgmii/sgmii/trunk

Subversion Repositories sgmii

[/] [sgmii/] [trunk/] [sim/] [BFMs/] [SGMII_altera/] [triple_speed_ethernet-library/] [altera_tse_mac_pcs_pma.v] - Blame information for rev 9

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 jefflieu
// -------------------------------------------------------------------------
2
// -------------------------------------------------------------------------
3
//
4
// Revision Control Information
5
//
6
// $RCSfile: altera_tse_mac_pcs_pma.v,v $
7
// $Source: /ipbu/cvs/sio/projects/TriSpeedEthernet/src/RTL/Top_level_modules/altera_tse_mac_pcs_pma.v,v $
8
//
9
// $Revision: #1 $
10
// $Date: 2011/11/10 $
11
// Check in by : $Author: max $
12
// Author      : Arul Paniandi
13
//
14
// Project     : Triple Speed Ethernet
15
//
16
// Description : 
17
//
18
// Top level MAC + PCS + PMA module for Triple Speed Ethernet MAC + PCS + PMA
19
 
20
// 
21
// ALTERA Confidential and Proprietary
22
// Copyright 2006 (c) Altera Corporation
23
// All rights reserved
24
//
25
// -------------------------------------------------------------------------
26
// -------------------------------------------------------------------------
27
 
28
 
29
//Legal Notice: (C)2007 Altera Corporation. All rights reserved.  Your
30
//use of Altera Corporation's design tools, logic functions and other
31
//software and tools, and its AMPP partner logic functions, and any
32
//output files any of the foregoing (including device programming or
33
//simulation files), and any associated documentation or information are
34
//expressly subject to the terms and conditions of the Altera Program
35
//License Subscription Agreement or other applicable license agreement,
36
//including, without limitation, that your use is for the sole purpose
37
//of programming logic devices manufactured by Altera and sold by Altera
38
//or its authorized distributors.  Please refer to the applicable
39
//agreement for further details.
40
 
41
(*altera_attribute = {"-name SYNCHRONIZER_IDENTIFICATION OFF" } *)
42
module altera_tse_mac_pcs_pma /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"D101,D103,C105\"" */ (
43
    // inputs:
44
    address,
45
    clk,
46
    ff_rx_clk,
47
    ff_rx_rdy,
48
    ff_tx_clk,
49
    ff_tx_crc_fwd,
50
    ff_tx_data,
51
    ff_tx_mod,
52
    ff_tx_eop,
53
    ff_tx_err,
54
    ff_tx_sop,
55
    ff_tx_wren,
56
    gxb_cal_blk_clk,
57
    gxb_pwrdn_in,
58
    magic_sleep_n,
59
    mdio_in,
60
    read,
61
    ref_clk,
62
    reset,
63
    rxp,
64
    write,
65
    writedata,
66
    xoff_gen,
67
    xon_gen,
68
 
69
    // outputs:
70
    ff_rx_a_empty,
71
    ff_rx_a_full,
72
    ff_rx_data,
73
    ff_rx_mod,
74
    ff_rx_dsav,
75
    ff_rx_dval,
76
    ff_rx_eop,
77
    ff_rx_sop,
78
    ff_tx_a_empty,
79
    ff_tx_a_full,
80
    ff_tx_rdy,
81
    ff_tx_septy,
82
    led_an,
83
    led_char_err,
84
    led_col,
85
    led_crs,
86
    led_disp_err,
87
    led_link,
88
    magic_wakeup,
89
    mdc,
90
    mdio_oen,
91
    mdio_out,
92
    pcs_pwrdn_out,
93
    readdata,
94
    rx_err,
95
    rx_err_stat,
96
    rx_frm_type,
97
    tx_ff_uflow,
98
    txp,
99
    rx_recovclkout,
100
    waitrequest
101
);
102
 
103
//  Parameters to configure the core for different variations
104
//  ---------------------------------------------------------
105
 
106
parameter ENABLE_ENA            = 8;            //  Enable n-Bit Local Interface
107
parameter ENABLE_GMII_LOOPBACK  = 1;            //  GMII_LOOPBACK_ENA : Enable GMII Loopback Logic 
108
parameter ENABLE_HD_LOGIC       = 1;            //  HD_LOGIC_ENA : Enable Half Duplex Logic
109
parameter USE_SYNC_RESET        = 1;            //  Use Synchronized Reset Inputs
110
parameter ENABLE_SUP_ADDR       = 1;            //  SUP_ADDR_ENA : Enable Supplemental Addresses
111
parameter ENA_HASH              = 1;            //  ENA_HASH Enable Hask Table 
112
parameter STAT_CNT_ENA          = 1;            //  STAT_CNT_ENA Enable Statistic Counters
113
parameter ENABLE_EXTENDED_STAT_REG = 0;         //  Enable a few extended statistic registers
114
parameter EG_FIFO               = 256 ;         //  Egress FIFO Depth
115
parameter EG_ADDR               = 8 ;           //  Egress FIFO Depth
116
parameter ING_FIFO              = 256 ;         //  Ingress FIFO Depth
117
parameter ING_ADDR              = 8 ;           //  Egress FIFO Depth
118
parameter RESET_LEVEL           = 1'b 1 ;       //  Reset Active Level
119
parameter MDIO_CLK_DIV          = 40 ;          //  Host Clock Division - MDC Generation
120
parameter CORE_VERSION          = 16'h3;        //  MorethanIP Core Version
121
parameter CUST_VERSION          = 1 ;           //  Customer Core Version
122
parameter REDUCED_INTERFACE_ENA = 1;            //  Enable the RGMII / MII Interface
123
parameter ENABLE_MDIO           = 1;            //  Enable the MDIO Interface
124
parameter ENABLE_MAGIC_DETECT   = 1;            //  Enable magic packet detection
125
parameter ENABLE_MACLITE        = 0;            //  Enable MAC LITE operation
126
parameter MACLITE_GIGE          = 0;            //  Enable/Disable Gigabit MAC operation for MAC LITE.
127
parameter CRC32DWIDTH           = 4'b 1000;     //  input data width (informal, not for change)
128
parameter CRC32GENDELAY         = 3'b 110;      //  when the data from the generator is valid
129
parameter CRC32CHECK16BIT       = 1'b 0;        //  1 compare two times 16 bit of the CRC (adds one pipeline step) 
130
parameter CRC32S1L2_EXTERN      = 1'b0;         //  false: merge enable
131
parameter ENABLE_SHIFT16        = 0;            //  Enable byte stuffing at packet header
132
parameter RAM_TYPE              = "AUTO";       //  Specify the RAM type 
133
parameter INSERT_TA             = 0;            //  Option to insert timing adapter for SOPC systems
134
parameter PHY_IDENTIFIER        = 32'h 00000000;//  PHY Identifier 
135
parameter DEV_VERSION           = 16'h 0001 ;   //  Customer Phy's Core Version
136
parameter ENABLE_SGMII          = 1;            //  Enable SGMII logic for synthesis
137
parameter ENABLE_MAC_FLOW_CTRL  = 1'b1;         //  Option to enable flow control 
138
parameter ENABLE_MAC_TXADDR_SET = 1'b1;         //  Option to enable MAC address insertion onto 'to-be-transmitted' Ethernet frames on MAC TX data path
139
parameter ENABLE_MAC_RX_VLAN    = 1'b1;         //  Option to enable VLAN tagged Ethernet frames on MAC RX data path
140
parameter ENABLE_MAC_TX_VLAN    = 1'b1;         //  Option to enable VLAN tagged Ethernet frames on MAC TX data path
141
parameter EXPORT_PWRDN          = 1'b0;         //  Option to export the Alt2gxb powerdown signal
142
parameter DEVICE_FAMILY         = "ARRIAGX";    //  The device family the the core is targetted for.
143
parameter TRANSCEIVER_OPTION    = 1'b1;         //  Option to select transceiver block for MAC PCS PMA Instantiation. Valid Values are 0 and 1:  0 - GXB (GIGE Mode) 1 ? LVDS I/O
144
parameter ENABLE_ALT_RECONFIG   = 0;            //  Option to have the Alt_Reconfig ports exposed
145
parameter SYNCHRONIZER_DEPTH    = 3;            //  Number of synchronizer
146
 
147
  output  ff_rx_a_empty;
148
  output  ff_rx_a_full;
149
  output  [ENABLE_ENA-1:0] ff_rx_data;
150
  output  [1:0] ff_rx_mod;
151
  output  ff_rx_dsav;
152
  output  ff_rx_dval;
153
  output  ff_rx_eop;
154
  output  ff_rx_sop;
155
  output  ff_tx_a_empty;
156
  output  ff_tx_a_full;
157
  output  ff_tx_rdy;
158
  output  ff_tx_septy;
159
  output  led_an;
160
  output  led_char_err;
161
  output  led_col;
162
  output  led_crs;
163
  output  led_disp_err;
164
  output  led_link;
165
  output  magic_wakeup;
166
  output  mdc;
167
  output  mdio_oen;
168
  output  mdio_out;
169
  output  pcs_pwrdn_out;
170
  output  [31: 0] readdata;
171
  output  [5: 0] rx_err;
172
  output  [17: 0] rx_err_stat;
173
  output  [3: 0] rx_frm_type;
174
  output  tx_ff_uflow;
175
  output  txp;
176
  output  rx_recovclkout;
177
  output  waitrequest;
178
 
179
  input   [7: 0] address;
180
  input   clk;
181
  input   ff_rx_clk;
182
  input   ff_rx_rdy;
183
  input   ff_tx_clk;
184
  input   ff_tx_crc_fwd;
185
  input   [ENABLE_ENA-1:0] ff_tx_data;
186
  input   [1:0] ff_tx_mod;
187
  input   ff_tx_eop;
188
  input   ff_tx_err;
189
  input   ff_tx_sop;
190
  input   ff_tx_wren;
191
  input   gxb_cal_blk_clk;
192
  input   gxb_pwrdn_in;
193
  input   magic_sleep_n;
194
  input   mdio_in;
195
  input   read;
196
  input   ref_clk;
197
  input   reset;
198
  input   rxp;
199
  input   write;
200
  input   [31:0] writedata;
201
  input   xoff_gen;
202
  input   xon_gen;
203
 
204
 
205
  wire    MAC_PCS_reset;
206
  wire    ff_rx_a_empty;
207
  wire    ff_rx_a_full;
208
  wire    [ENABLE_ENA-1:0] ff_rx_data;
209
  wire    [1:0] ff_rx_mod;
210
  wire    ff_rx_dsav;
211
  wire    ff_rx_dval;
212
  wire    ff_rx_eop;
213
  wire    ff_rx_sop;
214
  wire    ff_tx_a_empty;
215
  wire    ff_tx_a_full;
216
  wire    ff_tx_rdy;
217
  wire    ff_tx_septy;
218
  wire    led_an;
219
  wire    led_char_err;
220
  wire    led_col;
221
  wire    led_crs;
222
  wire    led_disp_err;
223
  wire    led_link;
224
  wire    magic_wakeup;
225
  wire    mdc;
226
  wire    mdio_oen;
227
  wire    mdio_out;
228
  wire    pcs_pwrdn_out_sig;
229
  wire    gxb_pwrdn_in_sig;
230
  wire    gxb_cal_blk_clk_sig;
231
 
232
  wire    [31:0] readdata;
233
  wire    [5:0] rx_err;
234
  wire    [17: 0] rx_err_stat;
235
  wire    [3:0] rx_frm_type;
236
  wire    sd_loopback;
237
  wire    tbi_rx_clk;
238
  wire    [9:0] tbi_rx_d;
239
  wire    tbi_tx_clk;
240
  wire    [9:0] tbi_tx_d;
241
  wire    tx_ff_uflow;
242
  wire    txp;
243
  wire    waitrequest;
244
  wire    [9:0] tbi_rx_d_lvds;
245
 
246
  reg     [9:0] tbi_rx_d_flip;
247
  reg     [9:0] tbi_tx_d_flip;
248
 
249
  wire    reset_ref_clk_int;
250
  wire    reset_tbi_rx_clk_int;
251
 
252
  wire    pll_areset,rx_cda_reset,rx_channel_data_align,rx_locked;
253
  wire    rx_reset;
254
 
255
  // Export recovered clock  
256
  assign rx_recovclkout = tbi_rx_clk;
257
 
258
  //  Assign the digital reset of the PMA to the MAC_PCS logic
259
  //  --------------------------------------------------------
260
 
261
  assign MAC_PCS_reset = rx_reset;
262
 
263
 
264
  // Instantiation of the MAC_PCS core that connects to a PMA
265
  // --------------------------------------------------------
266
  altera_tse_mac_pcs_pma_ena altera_tse_mac_pcs_pma_ena_inst
267
    (
268
       .address (address),
269
       .clk (clk),
270
       .ff_rx_a_empty (ff_rx_a_empty),
271
       .ff_rx_a_full (ff_rx_a_full),
272
       .ff_rx_clk (ff_rx_clk),
273
       .ff_rx_data (ff_rx_data),
274
       .ff_rx_mod (ff_rx_mod),
275
       .ff_rx_dsav (ff_rx_dsav),
276
       .ff_rx_dval (ff_rx_dval),
277
       .ff_rx_eop (ff_rx_eop),
278
       .ff_rx_rdy (ff_rx_rdy),
279
       .ff_rx_sop (ff_rx_sop),
280
       .ff_tx_a_empty (ff_tx_a_empty),
281
       .ff_tx_a_full (ff_tx_a_full),
282
       .ff_tx_clk (ff_tx_clk),
283
       .ff_tx_crc_fwd (ff_tx_crc_fwd),
284
       .ff_tx_data (ff_tx_data),
285
       .ff_tx_mod (ff_tx_mod),
286
       .ff_tx_eop (ff_tx_eop),
287
       .ff_tx_err (ff_tx_err),
288
       .ff_tx_rdy (ff_tx_rdy),
289
       .ff_tx_septy (ff_tx_septy),
290
       .ff_tx_sop (ff_tx_sop),
291
       .ff_tx_wren (ff_tx_wren),
292
       .led_an (led_an),
293
       .led_char_err (led_char_err),
294
       .led_col (led_col),
295
       .led_crs (led_crs),
296
       .led_disp_err (led_disp_err),
297
       .led_link (led_link),
298
       .magic_sleep_n (magic_sleep_n),
299
       .magic_wakeup (magic_wakeup),
300
       .mdc (mdc),
301
       .mdio_in (mdio_in),
302
       .mdio_oen (mdio_oen),
303
       .mdio_out (mdio_out),
304
       .powerdown (pcs_pwrdn_out_sig),
305
       .read (read),
306
       .readdata (readdata),
307
       .reset (MAC_PCS_reset),
308
       .rx_err (rx_err),
309
       .rx_err_stat (rx_err_stat),
310
       .rx_frm_type (rx_frm_type),
311
       .sd_loopback (sd_loopback),
312
       .tbi_rx_clk (tbi_rx_clk),
313
       .tbi_rx_d (tbi_rx_d),
314
       .tbi_tx_clk (tbi_tx_clk),
315
       .tbi_tx_d (tbi_tx_d),
316
       .tx_ff_uflow (tx_ff_uflow),
317
       .waitrequest (waitrequest),
318
       .write (write),
319
       .writedata (writedata),
320
       .xoff_gen (xoff_gen),
321
       .xon_gen (xon_gen)
322
    );
323
 
324
    defparam
325
        altera_tse_mac_pcs_pma_ena_inst.ENABLE_ENA = ENABLE_ENA,
326
        altera_tse_mac_pcs_pma_ena_inst.ENABLE_HD_LOGIC = ENABLE_HD_LOGIC,
327
        altera_tse_mac_pcs_pma_ena_inst.ENABLE_GMII_LOOPBACK = ENABLE_GMII_LOOPBACK,
328
        altera_tse_mac_pcs_pma_ena_inst.USE_SYNC_RESET = USE_SYNC_RESET,
329
        altera_tse_mac_pcs_pma_ena_inst.ENABLE_SUP_ADDR = ENABLE_SUP_ADDR,
330
        altera_tse_mac_pcs_pma_ena_inst.ENA_HASH = ENA_HASH,
331
        altera_tse_mac_pcs_pma_ena_inst.STAT_CNT_ENA = STAT_CNT_ENA,
332
        altera_tse_mac_pcs_pma_ena_inst.ENABLE_EXTENDED_STAT_REG = ENABLE_EXTENDED_STAT_REG,
333
        altera_tse_mac_pcs_pma_ena_inst.EG_FIFO = EG_FIFO,
334
        altera_tse_mac_pcs_pma_ena_inst.EG_ADDR = EG_ADDR,
335
        altera_tse_mac_pcs_pma_ena_inst.ING_FIFO = ING_FIFO,
336
        altera_tse_mac_pcs_pma_ena_inst.ING_ADDR = ING_ADDR,
337
        altera_tse_mac_pcs_pma_ena_inst.RESET_LEVEL = RESET_LEVEL,
338
        altera_tse_mac_pcs_pma_ena_inst.MDIO_CLK_DIV = MDIO_CLK_DIV,
339
        altera_tse_mac_pcs_pma_ena_inst.CORE_VERSION = CORE_VERSION,
340
        altera_tse_mac_pcs_pma_ena_inst.CUST_VERSION = CUST_VERSION,
341
        altera_tse_mac_pcs_pma_ena_inst.REDUCED_INTERFACE_ENA = REDUCED_INTERFACE_ENA,
342
        altera_tse_mac_pcs_pma_ena_inst.ENABLE_MDIO = ENABLE_MDIO,
343
        altera_tse_mac_pcs_pma_ena_inst.ENABLE_MAGIC_DETECT = ENABLE_MAGIC_DETECT,
344
        altera_tse_mac_pcs_pma_ena_inst.ENABLE_MACLITE = ENABLE_MACLITE,
345
        altera_tse_mac_pcs_pma_ena_inst.MACLITE_GIGE = MACLITE_GIGE,
346
        altera_tse_mac_pcs_pma_ena_inst.CRC32S1L2_EXTERN = CRC32S1L2_EXTERN,
347
        altera_tse_mac_pcs_pma_ena_inst.CRC32DWIDTH = CRC32DWIDTH,
348
        altera_tse_mac_pcs_pma_ena_inst.CRC32CHECK16BIT = CRC32CHECK16BIT,
349
        altera_tse_mac_pcs_pma_ena_inst.CRC32GENDELAY = CRC32GENDELAY,
350
        altera_tse_mac_pcs_pma_ena_inst.ENABLE_SHIFT16 = ENABLE_SHIFT16,
351
        altera_tse_mac_pcs_pma_ena_inst.INSERT_TA = INSERT_TA,
352
        altera_tse_mac_pcs_pma_ena_inst.RAM_TYPE = RAM_TYPE,
353
        altera_tse_mac_pcs_pma_ena_inst.PHY_IDENTIFIER = PHY_IDENTIFIER,
354
        altera_tse_mac_pcs_pma_ena_inst.DEV_VERSION = DEV_VERSION,
355
        altera_tse_mac_pcs_pma_ena_inst.ENABLE_SGMII = ENABLE_SGMII,
356
        altera_tse_mac_pcs_pma_ena_inst.ENABLE_MAC_FLOW_CTRL = ENABLE_MAC_FLOW_CTRL,
357
        altera_tse_mac_pcs_pma_ena_inst.ENABLE_MAC_TXADDR_SET = ENABLE_MAC_TXADDR_SET,
358
        altera_tse_mac_pcs_pma_ena_inst.ENABLE_MAC_RX_VLAN = ENABLE_MAC_RX_VLAN,
359
                altera_tse_mac_pcs_pma_ena_inst.SYNCHRONIZER_DEPTH = SYNCHRONIZER_DEPTH,
360
        altera_tse_mac_pcs_pma_ena_inst.ENABLE_MAC_TX_VLAN = ENABLE_MAC_TX_VLAN;
361
 
362
 
363
 
364
// Export powerdown signal or wire it internally
365
// ---------------------------------------------
366
generate if (EXPORT_PWRDN == 1)
367
    begin
368
        assign gxb_pwrdn_in_sig = gxb_pwrdn_in;
369
        assign pcs_pwrdn_out = pcs_pwrdn_out_sig;
370
    end
371
else
372
    begin
373
        assign gxb_pwrdn_in_sig = pcs_pwrdn_out_sig;
374
    end
375
endgenerate
376
 
377
 
378
 
379
 
380
// Either one of these blocks below will be instantiated depending on the parameterization 
381
// that is chosen.
382
// ---------------------------------------------------------------------------------------
383
 
384
// Instantiation of the Alt2gxb block as the PMA for devices other than ArriaGX
385
// ---------------------------------------------------------------------------- 
386
 
387
// Instantiation of the Alt2gxb block as the PMA for ArriaGX device
388
// ---------------------------------------------------------------- 
389
 
390
 
391
 
392
 
393
// Instantiation of the LVDS SERDES block as the PMA for Stratix III devices
394
//
395
// IEEE 802.3 Clause 36 PCS requires that bit 0 of TBI_DATA to be transmitted 
396
// first.  However, ALTLVDS had bit 9 transmit first.  hence, we need a bit
397
// reversal algorithm.  
398
// -------------------------------------------------------------------------
399
 
400
generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 1)
401
    begin
402
 
403
    assign tbi_tx_clk = ref_clk;
404
    assign tbi_rx_d = tbi_rx_d_flip;
405
 
406
    altera_tse_reset_synchronizer reset_sync_0 (
407
        .clk(ref_clk),
408
        .reset_in(reset),
409
        .reset_out(reset_ref_clk_int)
410
        );
411
 
412
    altera_tse_reset_synchronizer reset_sync_1 (
413
        .clk(tbi_rx_clk),
414
        .reset_in(reset),
415
        .reset_out(reset_tbi_rx_clk_int)
416
        );
417
 
418
    always @(posedge tbi_rx_clk or posedge reset_tbi_rx_clk_int)
419
        begin
420
        if (reset_tbi_rx_clk_int == 1)
421
            tbi_rx_d_flip <= 0;
422
        else
423
            begin
424
            tbi_rx_d_flip[0] <= tbi_rx_d_lvds[9];
425
            tbi_rx_d_flip[1] <= tbi_rx_d_lvds[8];
426
            tbi_rx_d_flip[2] <= tbi_rx_d_lvds[7];
427
            tbi_rx_d_flip[3] <= tbi_rx_d_lvds[6];
428
            tbi_rx_d_flip[4] <= tbi_rx_d_lvds[5];
429
            tbi_rx_d_flip[5] <= tbi_rx_d_lvds[4];
430
            tbi_rx_d_flip[6] <= tbi_rx_d_lvds[3];
431
            tbi_rx_d_flip[7] <= tbi_rx_d_lvds[2];
432
            tbi_rx_d_flip[8] <= tbi_rx_d_lvds[1];
433
            tbi_rx_d_flip[9] <= tbi_rx_d_lvds[0];
434
            end
435
        end
436
 
437
    always @(posedge ref_clk or posedge reset_ref_clk_int)
438
        begin
439
        if (reset_ref_clk_int == 1)
440
            tbi_tx_d_flip <= 0;
441
        else
442
            begin
443
            tbi_tx_d_flip[0] <= tbi_tx_d[9];
444
            tbi_tx_d_flip[1] <= tbi_tx_d[8];
445
            tbi_tx_d_flip[2] <= tbi_tx_d[7];
446
            tbi_tx_d_flip[3] <= tbi_tx_d[6];
447
            tbi_tx_d_flip[4] <= tbi_tx_d[5];
448
            tbi_tx_d_flip[5] <= tbi_tx_d[4];
449
            tbi_tx_d_flip[6] <= tbi_tx_d[3];
450
            tbi_tx_d_flip[7] <= tbi_tx_d[2];
451
            tbi_tx_d_flip[8] <= tbi_tx_d[1];
452
            tbi_tx_d_flip[9] <= tbi_tx_d[0];
453
            end
454
        end
455
 
456
     altera_tse_pma_lvds_rx the_altera_tse_pma_lvds_rx
457
     (
458
         .pll_areset ( reset ),
459
         .rx_cda_reset ( rx_cda_reset ),
460
         .rx_channel_data_align ( rx_channel_data_align ),
461
         .rx_locked ( rx_locked ),
462
         .rx_divfwdclk (tbi_rx_clk),
463
         .rx_in (rxp),
464
         .rx_inclock (ref_clk),
465
         .rx_out (tbi_rx_d_lvds),
466
         .rx_outclock (),
467
         .rx_reset (rx_reset)
468
     );
469
 
470
    altera_tse_lvds_reset_sequencer the_altera_tse_lvds_reset_sequencer (
471
                .clk ( clk ),
472
                .reset ( reset_ref_clk_int ),
473
                .rx_locked ( rx_locked ),
474
                .rx_channel_data_align ( rx_channel_data_align ),
475
                .pll_areset ( pll_areset ),
476
                .rx_reset (rx_reset),
477
                .rx_cda_reset ( rx_cda_reset )
478
        );
479
 
480
    altera_tse_pma_lvds_tx the_altera_tse_pma_lvds_tx
481
    (
482
        .tx_in (tbi_tx_d_flip),
483
        .tx_inclock (ref_clk),
484
                .pll_areset ( reset ),
485
        .tx_out (txp)
486
    );
487
 
488
    end
489
endgenerate
490
 
491
endmodule
492
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.