OpenCores
URL https://opencores.org/ocsvn/sgmii/sgmii/trunk

Subversion Repositories sgmii

[/] [sgmii/] [trunk/] [sim/] [BFMs/] [SGMII_altera/] [triple_speed_ethernet-library/] [altera_tse_pma_lvds_rx.v] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 jefflieu
// megafunction wizard: %ALTLVDS_RX%
2
// GENERATION: STANDARD
3
// VERSION: WM1.0
4
// MODULE: ALTLVDS_RX 
5
 
6
// ============================================================
7
// File Name: altera_tse_pma_lvds_rx.v
8
// Megafunction Name(s):
9
//                      ALTLVDS_RX
10
//
11
// Simulation Library Files(s):
12
//                      altera_mf
13
// ============================================================
14
// ************************************************************
15
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
//
17
// 10.1 Internal Build 120 09/23/2010 PN Full Version
18
// ************************************************************
19
 
20
 
21
//Copyright (C) 1991-2010 Altera Corporation
22
//Your use of Altera Corporation's design tools, logic functions 
23
//and other software and tools, and its AMPP partner logic 
24
//functions, and any output files from any of the foregoing 
25
//(including device programming or simulation files), and any 
26
//associated documentation or information are expressly subject 
27
//to the terms and conditions of the Altera Program License 
28
//Subscription Agreement, Altera MegaCore Function License 
29
//Agreement, or other applicable license agreement, including, 
30
//without limitation, that your use is for the sole purpose of 
31
//programming logic devices manufactured by Altera and sold by 
32
//Altera or its authorized distributors.  Please refer to the 
33
//applicable agreement for further details.
34
 
35
 
36
// synopsys translate_off
37
`timescale 1 ps / 1 ps
38
// synopsys translate_on
39
module altera_tse_pma_lvds_rx (
40
        pll_areset,
41
        rx_cda_reset,
42
        rx_channel_data_align,
43
        rx_in,
44
        rx_inclock,
45
        rx_reset,
46
        rx_divfwdclk,
47
        rx_locked,
48
        rx_out,
49
        rx_outclock);
50
 
51
        input     pll_areset;
52
        input   [0:0]  rx_cda_reset;
53
        input   [0:0]  rx_channel_data_align;
54
        input   [0:0]  rx_in;
55
        input     rx_inclock;
56
        input   [0:0]  rx_reset;
57
        output  [0:0]  rx_divfwdclk;
58
        output    rx_locked;
59
        output  [9:0]  rx_out;
60
        output    rx_outclock;
61
 
62
        wire [0:0] sub_wire0;
63
        wire  sub_wire1;
64
        wire [9:0] sub_wire2;
65
        wire  sub_wire3;
66
        wire [0:0] rx_divfwdclk = sub_wire0[0:0];
67
        wire  rx_locked = sub_wire1;
68
        wire [9:0] rx_out = sub_wire2[9:0];
69
        wire  rx_outclock = sub_wire3;
70
 
71
        altlvds_rx      ALTLVDS_RX_component (
72
                                .rx_in (rx_in),
73
                                .rx_inclock (rx_inclock),
74
                                .rx_reset (rx_reset),
75
                                .pll_areset (pll_areset),
76
                                .rx_cda_reset (rx_cda_reset),
77
                                .rx_channel_data_align (rx_channel_data_align),
78
                                .rx_divfwdclk (sub_wire0),
79
                                .rx_locked (sub_wire1),
80
                                .rx_out (sub_wire2),
81
                                .rx_outclock (sub_wire3),
82
                                .dpa_pll_cal_busy (),
83
                                .dpa_pll_recal (1'b0),
84
                                .pll_phasecounterselect (),
85
                                .pll_phasedone (1'b1),
86
                                .pll_phasestep (),
87
                                .pll_phaseupdown (),
88
                                .pll_scanclk (),
89
                                .rx_cda_max (),
90
                                .rx_coreclk (1'b1),
91
                                .rx_data_align (1'b0),
92
                                .rx_data_align_reset (1'b0),
93
                                .rx_data_reset (1'b0),
94
                                .rx_deskew (1'b0),
95
                                .rx_dpa_lock_reset (1'b0),
96
                                .rx_dpa_locked (),
97
                                .rx_dpll_enable (1'b1),
98
                                .rx_dpll_hold (1'b0),
99
                                .rx_dpll_reset (1'b0),
100
                                .rx_enable (1'b1),
101
                                .rx_fifo_reset (1'b0),
102
                                .rx_pll_enable (1'b1),
103
                                .rx_readclock (1'b0),
104
                                .rx_syncclock (1'b0));
105
        defparam
106
                ALTLVDS_RX_component.buffer_implementation = "RAM",
107
                ALTLVDS_RX_component.cds_mode = "UNUSED",
108
                ALTLVDS_RX_component.common_rx_tx_pll = "ON",
109
                ALTLVDS_RX_component.data_align_rollover = 10,
110
                ALTLVDS_RX_component.data_rate = "1250.0 Mbps",
111
                ALTLVDS_RX_component.deserialization_factor = 10,
112
                ALTLVDS_RX_component.dpa_initial_phase_value = 0,
113
                ALTLVDS_RX_component.dpll_lock_count = 0,
114
                ALTLVDS_RX_component.dpll_lock_window = 0,
115
                ALTLVDS_RX_component.enable_dpa_align_to_rising_edge_only = "OFF",
116
                ALTLVDS_RX_component.enable_dpa_calibration = "ON",
117
                ALTLVDS_RX_component.enable_dpa_fifo = "UNUSED",
118
                ALTLVDS_RX_component.enable_dpa_initial_phase_selection = "OFF",
119
                ALTLVDS_RX_component.enable_dpa_mode = "ON",
120
                ALTLVDS_RX_component.enable_dpa_pll_calibration = "OFF",
121
                ALTLVDS_RX_component.enable_soft_cdr_mode = "ON",
122
                ALTLVDS_RX_component.implement_in_les = "OFF",
123
                ALTLVDS_RX_component.inclock_boost = 0,
124
                ALTLVDS_RX_component.inclock_data_alignment = "EDGE_ALIGNED",
125
                ALTLVDS_RX_component.inclock_period = 8000,
126
                ALTLVDS_RX_component.inclock_phase_shift = 0,
127
                ALTLVDS_RX_component.input_data_rate = 1250,
128
                ALTLVDS_RX_component.intended_device_family = "Stratix III",
129
                ALTLVDS_RX_component.lose_lock_on_one_change = "UNUSED",
130
                ALTLVDS_RX_component.lpm_hint = "UNUSED",
131
                ALTLVDS_RX_component.lpm_type = "altlvds_rx",
132
                ALTLVDS_RX_component.number_of_channels = 1,
133
                ALTLVDS_RX_component.outclock_resource = "AUTO",
134
                ALTLVDS_RX_component.pll_operation_mode = "UNUSED",
135
                ALTLVDS_RX_component.pll_self_reset_on_loss_lock = "UNUSED",
136
                ALTLVDS_RX_component.port_rx_channel_data_align = "PORT_USED",
137
                ALTLVDS_RX_component.port_rx_data_align = "PORT_UNUSED",
138
                ALTLVDS_RX_component.refclk_frequency = "125.00 MHz",
139
                ALTLVDS_RX_component.registered_data_align_input = "UNUSED",
140
                ALTLVDS_RX_component.registered_output = "ON",
141
                ALTLVDS_RX_component.reset_fifo_at_first_lock = "UNUSED",
142
                ALTLVDS_RX_component.rx_align_data_reg = "UNUSED",
143
                ALTLVDS_RX_component.sim_dpa_is_negative_ppm_drift = "OFF",
144
                ALTLVDS_RX_component.sim_dpa_net_ppm_variation = 0,
145
                ALTLVDS_RX_component.sim_dpa_output_clock_phase_shift = 0,
146
                ALTLVDS_RX_component.use_coreclock_input = "OFF",
147
                ALTLVDS_RX_component.use_dpll_rawperror = "OFF",
148
                ALTLVDS_RX_component.use_external_pll = "OFF",
149
                ALTLVDS_RX_component.use_no_phase_shift = "ON",
150
                ALTLVDS_RX_component.x_on_bitslip = "OFF",
151
                ALTLVDS_RX_component.clk_src_is_pll = "off";
152
 
153
 
154
endmodule
155
 
156
// ============================================================
157
// CNX file retrieval info
158
// ============================================================
159
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
160
// Retrieval info: PRIVATE: Bitslip NUMERIC "10"
161
// Retrieval info: PRIVATE: Clock_Choices STRING "tx_coreclock"
162
// Retrieval info: PRIVATE: Clock_Mode NUMERIC "0"
163
// Retrieval info: PRIVATE: Data_rate STRING "1250.0"
164
// Retrieval info: PRIVATE: Deser_Factor NUMERIC "10"
165
// Retrieval info: PRIVATE: Dpll_Lock_Count STRING ""
166
// Retrieval info: PRIVATE: Dpll_Lock_Window STRING ""
167
// Retrieval info: PRIVATE: Enable_DPA_Mode STRING "ON"
168
// Retrieval info: PRIVATE: Enable_FIFO_DPA_Channels STRING ""
169
// Retrieval info: PRIVATE: Ext_PLL STRING "OFF"
170
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Stratix III"
171
// Retrieval info: PRIVATE: Le_Serdes STRING "OFF"
172
// Retrieval info: PRIVATE: Num_Channel NUMERIC "1"
173
// Retrieval info: PRIVATE: Outclock_Divide_By STRING ""
174
// Retrieval info: PRIVATE: pCNX_OUTCLK_ALIGN STRING ""
175
// Retrieval info: PRIVATE: pINCLOCK_PHASE_SHIFT STRING ""
176
// Retrieval info: PRIVATE: PLL_Enable NUMERIC "0"
177
// Retrieval info: PRIVATE: PLL_Freq STRING "125.00"
178
// Retrieval info: PRIVATE: PLL_Period STRING "8.000"
179
// Retrieval info: PRIVATE: pOUTCLOCK_PHASE_SHIFT STRING ""
180
// Retrieval info: PRIVATE: Reg_InOut NUMERIC "1"
181
// Retrieval info: PRIVATE: Use_Clock_Resc STRING "AUTO"
182
// Retrieval info: PRIVATE: Use_Common_Rx_Tx_Plls NUMERIC "1"
183
// Retrieval info: PRIVATE: Use_Lock NUMERIC "0"
184
// Retrieval info: PRIVATE: Use_Pll_Areset NUMERIC "0"
185
// Retrieval info: PRIVATE: Use_Rawperror STRING ""
186
// Retrieval info: PRIVATE: Use_Tx_Out_Phase STRING ""
187
// Retrieval info: CONSTANT: BUFFER_IMPLEMENTATION STRING "RAM"
188
// Retrieval info: CONSTANT: CDS_MODE STRING "UNUSED"
189
// Retrieval info: CONSTANT: COMMON_RX_TX_PLL STRING "ON"
190
// Retrieval info: CONSTANT: clk_src_is_pll STRING "off"
191
// Retrieval info: CONSTANT: DATA_ALIGN_ROLLOVER NUMERIC "10"
192
// Retrieval info: CONSTANT: DATA_RATE STRING "1250.0 Mbps"
193
// Retrieval info: CONSTANT: DESERIALIZATION_FACTOR NUMERIC "10"
194
// Retrieval info: CONSTANT: DPA_INITIAL_PHASE_VALUE NUMERIC "0"
195
// Retrieval info: CONSTANT: DPLL_LOCK_COUNT NUMERIC "0"
196
// Retrieval info: CONSTANT: DPLL_LOCK_WINDOW NUMERIC "0"
197
// Retrieval info: CONSTANT: ENABLE_DPA_ALIGN_TO_RISING_EDGE_ONLY STRING "OFF"
198
// Retrieval info: CONSTANT: ENABLE_DPA_CALIBRATION STRING "ON"
199
// Retrieval info: CONSTANT: ENABLE_DPA_FIFO STRING "UNUSED"
200
// Retrieval info: CONSTANT: ENABLE_DPA_INITIAL_PHASE_SELECTION STRING "OFF"
201
// Retrieval info: CONSTANT: ENABLE_DPA_MODE STRING "ON"
202
// Retrieval info: CONSTANT: ENABLE_DPA_PLL_CALIBRATION STRING "OFF"
203
// Retrieval info: CONSTANT: ENABLE_SOFT_CDR_MODE STRING "ON"
204
// Retrieval info: CONSTANT: IMPLEMENT_IN_LES STRING "OFF"
205
// Retrieval info: CONSTANT: INCLOCK_BOOST NUMERIC "0"
206
// Retrieval info: CONSTANT: INCLOCK_DATA_ALIGNMENT STRING "EDGE_ALIGNED"
207
// Retrieval info: CONSTANT: INCLOCK_PERIOD NUMERIC "8000"
208
// Retrieval info: CONSTANT: INCLOCK_PHASE_SHIFT NUMERIC "0"
209
// Retrieval info: CONSTANT: INPUT_DATA_RATE NUMERIC "1250"
210
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Stratix III"
211
// Retrieval info: CONSTANT: LOSE_LOCK_ON_ONE_CHANGE STRING "UNUSED"
212
// Retrieval info: CONSTANT: LPM_HINT STRING "UNUSED"
213
// Retrieval info: CONSTANT: LPM_TYPE STRING "altlvds_rx"
214
// Retrieval info: CONSTANT: NUMBER_OF_CHANNELS NUMERIC "1"
215
// Retrieval info: CONSTANT: OUTCLOCK_RESOURCE STRING "AUTO"
216
// Retrieval info: CONSTANT: PLL_OPERATION_MODE STRING "UNUSED"
217
// Retrieval info: CONSTANT: PLL_SELF_RESET_ON_LOSS_LOCK STRING "UNUSED"
218
// Retrieval info: CONSTANT: PORT_RX_CHANNEL_DATA_ALIGN STRING "PORT_USED"
219
// Retrieval info: CONSTANT: PORT_RX_DATA_ALIGN STRING "PORT_UNUSED"
220
// Retrieval info: CONSTANT: REFCLK_FREQUENCY STRING "125.00 MHz"
221
// Retrieval info: CONSTANT: REGISTERED_DATA_ALIGN_INPUT STRING "UNUSED"
222
// Retrieval info: CONSTANT: REGISTERED_OUTPUT STRING "ON"
223
// Retrieval info: CONSTANT: RESET_FIFO_AT_FIRST_LOCK STRING "UNUSED"
224
// Retrieval info: CONSTANT: RX_ALIGN_DATA_REG STRING "UNUSED"
225
// Retrieval info: CONSTANT: SIM_DPA_IS_NEGATIVE_PPM_DRIFT STRING "OFF"
226
// Retrieval info: CONSTANT: SIM_DPA_NET_PPM_VARIATION NUMERIC "0"
227
// Retrieval info: CONSTANT: SIM_DPA_OUTPUT_CLOCK_PHASE_SHIFT NUMERIC "0"
228
// Retrieval info: CONSTANT: USE_CORECLOCK_INPUT STRING "OFF"
229
// Retrieval info: CONSTANT: USE_DPLL_RAWPERROR STRING "OFF"
230
// Retrieval info: CONSTANT: USE_EXTERNAL_PLL STRING "OFF"
231
// Retrieval info: CONSTANT: USE_NO_PHASE_SHIFT STRING "ON"
232
// Retrieval info: CONSTANT: X_ON_BITSLIP STRING "OFF"
233
// Retrieval info: USED_PORT: pll_areset 0 0 0 0 INPUT NODEFVAL "pll_areset"
234
// Retrieval info: CONNECT: @pll_areset 0 0 0 0 pll_areset 0 0 0 0
235
// Retrieval info: USED_PORT: rx_cda_reset 0 0 1 0 INPUT NODEFVAL "rx_cda_reset[0..0]"
236
// Retrieval info: CONNECT: @rx_cda_reset 0 0 1 0 rx_cda_reset 0 0 1 0
237
// Retrieval info: USED_PORT: rx_channel_data_align 0 0 1 0 INPUT NODEFVAL "rx_channel_data_align[0..0]"
238
// Retrieval info: CONNECT: @rx_channel_data_align 0 0 1 0 rx_channel_data_align 0 0 1 0
239
// Retrieval info: USED_PORT: rx_divfwdclk 0 0 1 0 OUTPUT NODEFVAL "rx_divfwdclk[0..0]"
240
// Retrieval info: CONNECT: rx_divfwdclk 0 0 1 0 @rx_divfwdclk 0 0 1 0
241
// Retrieval info: USED_PORT: rx_in 0 0 1 0 INPUT NODEFVAL "rx_in[0..0]"
242
// Retrieval info: CONNECT: @rx_in 0 0 1 0 rx_in 0 0 1 0
243
// Retrieval info: USED_PORT: rx_inclock 0 0 0 0 INPUT NODEFVAL "rx_inclock"
244
// Retrieval info: CONNECT: @rx_inclock 0 0 0 0 rx_inclock 0 0 0 0
245
// Retrieval info: USED_PORT: rx_locked 0 0 0 0 OUTPUT NODEFVAL "rx_locked"
246
// Retrieval info: CONNECT: rx_locked 0 0 0 0 @rx_locked 0 0 0 0
247
// Retrieval info: USED_PORT: rx_out 0 0 10 0 OUTPUT NODEFVAL "rx_out[9..0]"
248
// Retrieval info: CONNECT: rx_out 0 0 10 0 @rx_out 0 0 10 0
249
// Retrieval info: USED_PORT: rx_outclock 0 0 0 0 OUTPUT NODEFVAL "rx_outclock"
250
// Retrieval info: CONNECT: rx_outclock 0 0 0 0 @rx_outclock 0 0 0 0
251
// Retrieval info: USED_PORT: rx_reset 0 0 1 0 INPUT NODEFVAL "rx_reset[0..0]"
252
// Retrieval info: CONNECT: @rx_reset 0 0 1 0 rx_reset 0 0 1 0
253
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_pma_lvds_rx.v TRUE FALSE
254
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_pma_lvds_rx.qip TRUE FALSE
255
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_pma_lvds_rx.bsf FALSE TRUE
256
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_pma_lvds_rx_inst.v FALSE TRUE
257
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_pma_lvds_rx_bb.v FALSE TRUE
258
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_pma_lvds_rx.inc FALSE TRUE
259
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_pma_lvds_rx.cmp FALSE TRUE
260
// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_pma_lvds_rx.ppf TRUE FALSE
261
// Retrieval info: LIB_FILE: altera_mf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.