OpenCores
URL https://opencores.org/ocsvn/sigma_delta_dac_dual_loop/sigma_delta_dac_dual_loop/trunk

Subversion Repositories sigma_delta_dac_dual_loop

[/] [sigma_delta_dac_dual_loop/] [trunk/] [dsm2/] [dac_dsm2_top.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 wzab
-------------------------------------------------------------------------------
2
-- Title      : DAC_DSM2 - sigma-delta DAC converter with double loop
3
-- Project    : 
4
-------------------------------------------------------------------------------
5
-- File       : dac_dsm2.vhd
6
-- Author     : Wojciech M. Zabolotny ( wzab[at]ise.pw.edu.pl )
7
-- Company    : 
8
-- Created    : 2009-04-28
9
-- Last update: 2012-10-16
10
-- Platform   : 
11
-- Standard   : VHDL'93c
12
-------------------------------------------------------------------------------
13
-- Description: Top entity
14
-------------------------------------------------------------------------------
15
-- Copyright (c) 2009  - THIS IS PUBLIC DOMAIN CODE!!!
16
-------------------------------------------------------------------------------
17
-- Revisions  :
18
-- Date        Version  Author  Description
19
-- 2009-04-28  1.0      wzab    Created
20
-------------------------------------------------------------------------------
21
 
22
library ieee;
23
use ieee.std_logic_1164.all;
24
use ieee.numeric_std.all;
25
 
26
entity dac_dsm2_top is
27
    generic (
28
      nbits : integer);
29
  port (
30
    din   : in  signed(15 downto 0);
31
    dout  : out std_logic;
32
    clk   : in  std_logic;
33
    n_rst : in  std_logic);
34
 
35
end dac_dsm2_top;
36
 
37
architecture beh1 of dac_dsm2_top is
38
 
39
  component dac_dsm2
40
    generic (
41
      nbits : integer);
42
    port (
43
      din   : in  signed((nbits-1) downto 0);
44
      dout  : out std_logic;
45
      clk   : in  std_logic;
46
      n_rst : in  std_logic);
47
  end component;
48
 
49
begin
50
  dac_dsm2_1 : dac_dsm2
51
    generic map (
52
      nbits => nbits)
53
    port map (
54
      din   => din,
55
      dout  => dout,
56
      clk   => clk,
57
      n_rst => n_rst);
58
end beh1;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.