OpenCores
URL https://opencores.org/ocsvn/simple_fm_receiver/simple_fm_receiver/trunk

Subversion Repositories simple_fm_receiver

[/] [simple_fm_receiver/] [trunk/] [source/] [adder_10bit.vhdl] - Blame information for rev 39

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 22 arif_endro
-- $Id: adder_10bit.vhdl,v 1.4 2008-06-26 06:12:29 arif_endro Exp $
2 2 arif_endro
-------------------------------------------------------------------------------
3
-- Title       : Adder 10 bit
4
-- Project     : FM Receiver 
5
-------------------------------------------------------------------------------
6
-- File        : adder_10bit.vhdl 
7
-- Author      : "Arif E. Nugroho" <arif_endro@yahoo.com>
8
-- Created     : 2004/12/23 
9
-- Last update : 
10 13 arif_endro
-- Simulators  : 
11 2 arif_endro
-- Synthesizers: 
12
-- Target      : 
13
-------------------------------------------------------------------------------
14
-- Description : Ripple carry adder 10 bit with output 11 bit
15
-------------------------------------------------------------------------------
16 39 arif_endro
-- Copyright (C) 2004 Arif Endro Nugroho
17 2 arif_endro
-------------------------------------------------------------------------------
18 13 arif_endro
-- 
19
--      THIS SOURCE FILE MAY BE USED AND DISTRIBUTED WITHOUT RESTRICTION
20
-- PROVIDED THAT THIS COPYRIGHT STATEMENT IS NOT REMOVED FROM THE FILE AND THAT
21
-- ANY DERIVATIVE WORK CONTAINS THE ORIGINAL COPYRIGHT NOTICE AND THE
22
-- ASSOCIATED DISCLAIMER.
23
-- 
24
-------------------------------------------------------------------------------
25
-- 
26
--      THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR
27
-- IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
28
-- MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.  IN NO
29
-- EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
30
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
31
-- PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
32
-- OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
33
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
34
-- OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
35
-- ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
36
-- 
37
-------------------------------------------------------------------------------
38 2 arif_endro
 
39
library IEEE;
40
use IEEE.STD_LOGIC_1164.ALL;
41
 
42
entity adder_10bit is
43
   port (
44
      addend_10bit  : in  bit_vector (09 downto 0);
45
      augend_10bit  : in  bit_vector (09 downto 0);
46
      adder10_output: out bit_vector (10 downto 0)
47
      );
48
end adder_10bit;
49
 
50
architecture structural of adder_10bit is
51
 
52
   component fulladder
53
      port (
54
      addend        : in   bit;
55
      augend        : in   bit;
56
      carry_in      : in   bit;
57
      sum           : out  bit;
58
      carry         : out  bit
59
      );
60
   end component;
61
 
62
signal c00 : bit;
63
signal c01 : bit;
64
signal c02 : bit;
65
signal c03 : bit;
66
signal c04 : bit;
67
signal c05 : bit;
68
signal c06 : bit;
69
signal c07 : bit;
70
signal c08 : bit;
71
signal c09 : bit;
72
signal c10 : bit;
73
signal over10 : bit;
74 22 arif_endro
signal adder10_output_int : bit_vector (09 downto 0);
75
signal ov  : bit;
76 2 arif_endro
 
77
begin
78
 
79
c00                     <= '0';
80
over10                  <= (addend_10bit (09) xor augend_10bit (09));
81 22 arif_endro
ov                      <= ((adder10_output_int (09) and over10) or
82 2 arif_endro
                           (c10 and (not (over10))));
83 22 arif_endro
adder10_output(09 downto 00) <= adder10_output_int;
84
adder10_output(10)           <= ov;
85 2 arif_endro
 
86
fa09 : fulladder
87
   port map (
88
      addend     => addend_10bit(09),
89
      augend     => augend_10bit(09),
90
      carry_in   => c09,
91
      sum        => adder10_output_int(09),
92
      carry      => c10
93
      );
94
 
95
fa08 : fulladder
96
   port map (
97
      addend     => addend_10bit(08),
98
      augend     => augend_10bit(08),
99
      carry_in   => c08,
100
      sum        => adder10_output_int(08),
101
      carry      => c09
102
      );
103
 
104
fa07 : fulladder
105
   port map (
106
      addend     => addend_10bit(07),
107
      augend     => augend_10bit(07),
108
      carry_in   => c07,
109
      sum        => adder10_output_int(07),
110
      carry      => c08
111
      );
112
 
113
fa06 : fulladder
114
   port map (
115
      addend     => addend_10bit(06),
116
      augend     => augend_10bit(06),
117
      carry_in   => c06,
118
      sum        => adder10_output_int(06),
119
      carry      => c07
120
      );
121
 
122
fa05 : fulladder
123
   port map (
124
      addend     => addend_10bit(05),
125
      augend     => augend_10bit(05),
126
      carry_in   => c05,
127
      sum        => adder10_output_int(05),
128
      carry      => c06
129
      );
130
 
131
fa04 : fulladder
132
   port map (
133
      addend     => addend_10bit(04),
134
      augend     => augend_10bit(04),
135
      carry_in   => c04,
136
      sum        => adder10_output_int(04),
137
      carry      => c05
138
      );
139
 
140
fa03 : fulladder
141
   port map (
142
      addend     => addend_10bit(03),
143
      augend     => augend_10bit(03),
144
      carry_in   => c03,
145
      sum        => adder10_output_int(03),
146
      carry      => c04
147
      );
148
 
149
fa02 : fulladder
150
   port map (
151
      addend     => addend_10bit(02),
152
      augend     => augend_10bit(02),
153
      carry_in   => c02,
154
      sum        => adder10_output_int(02),
155
      carry      => c03
156
      );
157
 
158
fa01 : fulladder
159
   port map (
160
      addend     => addend_10bit(01),
161
      augend     => augend_10bit(01),
162
      carry_in   => c01,
163
      sum        => adder10_output_int(01),
164
      carry      => c02
165
      );
166
 
167
fa00 : fulladder
168
   port map (
169
      addend     => addend_10bit(00),
170
      augend     => augend_10bit(00),
171
      carry_in   => c00,
172
      sum        => adder10_output_int(00),
173
      carry      => c01
174
      );
175
 
176
end structural;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.