OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [Projects/] [opencores.org/] [Mos6502/] [ip/] [T6502/] [sim/] [testbenches/] [xml/] [T6502_bfm.design.xml] - Blame information for rev 131

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 131 jt_eaton
2
30
31
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
32
xmlns:socgen="http://opencores.org"
33
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
34
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
35
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
36
 
37
opencores.org
38
Mos6502
39
T6502
40
bfm.design
41
 
42
 
43
 
44
45
46
 
47
48
mem_addr
49
wire
50
CPU_ADD-10
51
52
 
53
 
54
 
55
56
57
 
58
 
59
 
60
 
61
 
62
 
63
 
64
  
65
 
66
 
67
    
68
      clk
69
      
70
      
71
      
72
      
73
      
74
    
75
 
76
 
77
 
78
    
79
      reset
80
      
81
      
82
      
83
      
84
      
85
    
86
 
87
 
88
 
89
    
90
      ext_addr
91
      
92
      
93
    
94
 
95
    
96
      ext_wdata
97
      
98
      
99
    
100
 
101
    
102
      ext_rdata
103
      
104
      
105
    
106
 
107
    
108
      ext_ub
109
      
110
      
111
    
112
 
113
    
114
      ext_wait
115
      
116
      
117
    
118
 
119
    
120
      ext_lb
121
      
122
      
123
    
124
 
125
    
126
      ext_rd
127
      
128
      
129
    
130
 
131
    
132
      ext_stb
133
      
134
      
135
    
136
 
137
    
138
      ext_wr
139
      
140
      
141
    
142
 
143
    
144
      ext_cs
145
      
146
      
147
    
148
 
149
 
150
 
151
    
152
      memadr_out
153
      
154
      
155
    
156
 
157
    
158
      memdb_out
159
      
160
      
161
    
162
 
163
    
164
      memdb_oe
165
      
166
      
167
    
168
 
169
    
170
      memdb_in
171
      
172
      
173
    
174
 
175
 
176
   
177
      MEMDB
178
      
179
      
180
    
181
 
182
 
183
 
184
    
185
      memdb_out
186
      
187
      
188
    
189
 
190
 
191
    
192
      memdb_in
193
      
194
      
195
    
196
 
197
    
198
      memdb_oe
199
      
200
      
201
    
202
 
203
 
204
 
205
 
206
 
207
 
208
    
209
      memoe_n_out
210
      
211
      
212
    
213
 
214
    
215
      memwr_n_out
216
      
217
      
218
    
219
 
220
    
221
      ramadv_n_out
222
      
223
      
224
    
225
 
226
    
227
      ramclk_out
228
      
229
      
230
    
231
 
232
    
233
      ramub_n_out
234
      
235
      
236
    
237
 
238
    
239
      ramlb_n_out
240
      
241
      
242
    
243
 
244
    
245
      ramcs_n_out
246
      
247
      
248
    
249
 
250
    
251
      ramcre_out
252
      
253
      
254
    
255
 
256
 
257
    
258
      ramwait_in
259
      
260
      
261
    
262
 
263
    
264
      flashststs_in
265
      
266
      
267
    
268
 
269
 
270
    
271
      flashrp_n_out
272
      
273
      
274
    
275
 
276
 
277
    
278
      flashcs_n_out
279
      
280
      
281
    
282
 
283
 
284
    
285
      ps2_clk_pad_oe
286
      
287
       
288
    
289
 
290
    
291
      ps2_clk_pad_in
292
      
293
       
294
    
295
 
296
 
297
    
298
      ps2_data_pad_oe
299
      
300
       
301
    
302
 
303
    
304
      ps2_data_pad_in
305
      
306
       
307
    
308
 
309
 
310
     
311
       uart_txd_pad_out
312
      
313
       
314
     
315
 
316
 
317
      
318
       uart_rxd_pad_in
319
      
320
       
321
     
322
 
323
 
324
 
325
 
326
      
327
       vga_vsync_n_pad_out
328
      
329
       
330
     
331
 
332
      
333
       vga_hsync_n_pad_out
334
      
335
       
336
     
337
 
338
 
339
      
340
       vga_red_pad_out
341
      
342
       
343
     
344
 
345
 
346
      
347
       vga_green_pad_out
348
      
349
       
350
     
351
 
352
      
353
       vga_blue_pad_out
354
      
355
       
356
     
357
 
358
 
359
 
360
 
361
 
362
 
363
 
364
 
365
 
366
     
367
       ps2_clk
368
      
369
       
370
       
371
     
372
 
373
 
374
 
375
     
376
       ps2_data
377
      
378
       
379
       
380
     
381
 
382
 
383
 
384
 
385
 
386
387
 
388
 
389
 
390
 
391
392
 
393
 
394
395
 uart_model
396
397
 
398
 UART_MODEL_CLKCNT
399
 UART_MODEL_SIZE
400
401
402
 
403
 
404
405
 vga_model
406
407
408
 
409
 
410
 
411
412
 ps2_model
413
414
 
415
 PS2_MODEL_CLKCNT
416
 PS2_MODEL_SIZE
417
 
418
419
420
 
421
 
422
 
423
424
 ps2_clk_pad
425
426
427
 
428
429
 ps2_data_pad
430
431
432
 
433
 
434
 
435
 
436
437
fmc
438
439
440
 
441
 
442
 
443
444
memdb_pad
445
446
 
447
 16
448
449
450
 
451
 
452
453
454
455
456
 
457
 
458
 
459
 
460
 
461
 
462
 
463
 
464
 
465
 
466
467
 
468
 
469
 
470
 
471
 
472
 
473
 
474
 
475
 
476
 
477
 
478
 
479
 
480
481
 
482
 
483
 
484
 
485
 
486
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.