OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [Projects/] [opencores.org/] [io/] [ip/] [io_module/] [rtl/] [verilog/] [top.gpio.rtl] - Blame information for rev 131

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 131 jt_eaton
 
2
 
3
 
4
 
5
reg       wait_n_reg;
6
 
7
always@(posedge clk)
8
if(reset || enable)
9
   begin
10
   wait_n_reg  <= 1'b0;
11
   end
12
else
13
    wait_n_reg <= 1'b1;
14
 
15
 
16
 
17
assign    wait_n = wait_n_reg;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.