OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [Projects/] [opencores.org/] [io/] [ip/] [io_uart/] [sim/] [testbenches/] [xml/] [io_uart_rxtx_lint.xml] - Blame information for rev 131

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 131 jt_eaton
2
30
31
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
32
xmlns:socgen="http://opencores.org"
33
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
34
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
35
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
36
 
37
opencores.org
38
io
39
io_uart
40
rxtx_lint
41
 
42
 
43
 
44
 
45
 
46
 
47
48
 
49
 
50
       
51
 
52
 
53
              
54
              Dut
55
              
56
              
57
                                   spirit:library="io"
58
                                   spirit:name="io_uart"
59
                                   spirit:version="rxtx_dut.params"/>
60
              
61
              
62
 
63
 
64
 
65
 
66
              
67
              lint
68
              :*Lint:*
69
              Verilog
70
              fs-lint
71
              
72
 
73
 
74
              
75
              rtl_check
76
              
77
              
78
                                   spirit:library="Testbench"
79
                                   spirit:name="toolflow"
80
                                   spirit:version="rtl_check"/>
81
              
82
              
83
 
84
      
85
 
86
 
87
 
88
 
89
90
 
91
 
92
 
93
 
94
 
95
  
96
 
97
 
98
    
99
      fs-lint
100
 
101
      
102
        
103
        ../verilog/lint/io_uart_rxtx_lint
104
        verilogSource
105
        module
106
      
107
 
108
 
109
 
110
    
111
 
112
 
113
 
114
 
115
 
116
  
117
 
118
 
119
 
120

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.