OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [Projects/] [opencores.org/] [logic/] [ip/] [flash_memcontrl/] [sim/] [icarus/] [default/] [test_define] - Blame information for rev 131

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 131 jt_eaton
 
2
 
3
 
4
 
5
reg [31:0] d;
6
 
7
  parameter SPI_TX_0   = 5'h0;
8
  parameter SPI_CTRL   = 5'h10;
9
  parameter SPI_DIVIDE = 5'h14;
10
  parameter SPI_SS     = 5'h18;
11
 
12
 
13
initial
14
 begin
15
 $display("              ");
16
 $display("          ===================================================");
17
 $display("%t  Test Start",$realtime  );
18
 $display("          ===================================================");
19
 $display("              ");
20
 test.cg.next(8);
21
 
22
 $display("%t  Out of reset  ",$realtime  );
23
 test.cg.next(88);
24
 
25
 
26
 test.bus16.u_write(24'h004002, 16'h0011);
27
 test.cg.next(10);
28
 test.bus16.u_write(24'h004004, 16'h0022);
29
 test.cg.next(10);
30
 test.bus16.u_write(24'h004002, 16'h00ff);
31
 test.cg.next(10);
32
 test.bus16.u_write(24'h004004, 16'h00aa);
33
 test.cg.next(10);
34
 test.bus16.u_write(24'h004024, 16'h000f);
35
 test.cg.next(10);
36
 test.bus16.u_write(24'h004028, 16'h000e);
37
 test.cg.next(10);
38
 test.bus16.u_write(24'h004044, 16'h000d);
39
 test.cg.next(10);
40
 test.bus16.u_write(24'h004048, 16'h000c);
41
 test.cg.next(10);
42
 test.bus16.u_write(24'h004060, 16'h000b);
43
 test.cg.next(10);
44
 test.bus16.u_write(24'h004062, 16'h000a);
45
 test.cg.next(10);
46
 test.bus16.u_write(24'h004064, 16'h0009);
47
 test.cg.next(10);
48
 
49
 test.bus16.u_cmp(24'h004002, 16'h00ff);
50
 test.cg.next(10);
51
 test.bus16.u_cmp(24'h004004, 16'h00aa);
52
 test.cg.next(10);
53
 test.bus16.u_cmp(24'h004024, 16'h000f);
54
 test.cg.next(10);
55
 test.bus16.u_cmp(24'h004028, 16'h000e);
56
 test.cg.next(10);
57
 test.bus16.u_cmp(24'h004044, 16'h000d);
58
 test.cg.next(10);
59
 test.bus16.u_cmp(24'h004048, 16'h000c);
60
 test.cg.next(10);
61
 test.bus16.u_cmp(24'h004060, 16'h000b);
62
 test.cg.next(10);
63
 test.bus16.u_cmp(24'h004062, 16'h000a);
64
 test.cg.next(10);
65
 test.bus16.u_cmp(24'h004064, 16'h0009);
66
 
67
 test.cg.next(100);
68
 
69
 
70
test.cg.exit;
71
end
72
 
73
 
74
 
75
 
76
 
77
 
78
 
79
 
80
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.