OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [Projects/] [opencores.org/] [logic/] [ip/] [micro_bus/] [rtl/] [verilog/] [top.body.exp5] - Blame information for rev 133

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 131 jt_eaton
 
2
reg [7:0]  rdata_out_reg;
3
 
4
 
5
always@(posedge clk)
6
rdata_out_reg     <= mas_0_rdata_in  &
7
                     mas_1_rdata_in  &
8
                     mas_2_rdata_in  &
9
                     mas_3_rdata_in  &
10
                     mas_4_rdata_in;
11
 
12
assign mas_0_rd_out    = rd_in;
13
assign mas_1_rd_out    = rd_in;
14
assign mas_2_rd_out    = rd_in;
15
assign mas_3_rd_out    = rd_in;
16
assign mas_4_rd_out    = rd_in;
17
 
18
 
19
assign mas_0_wr_out    = wr_in;
20
assign mas_1_wr_out    = wr_in;
21
assign mas_2_wr_out    = wr_in;
22
assign mas_3_wr_out    = wr_in;
23
assign mas_4_wr_out    = wr_in;
24
 
25
 
26
assign mas_0_wdata_out = wdata_in;
27
assign mas_1_wdata_out = wdata_in;
28
assign mas_2_wdata_out = wdata_in;
29
assign mas_3_wdata_out = wdata_in;
30
assign mas_4_wdata_out = wdata_in;
31
 
32
 
33 133 jt_eaton
assign mas_0_addr_out  = addr_in[3:0];
34
assign mas_1_addr_out  = addr_in[3:0];
35
assign mas_2_addr_out  = addr_in[3:0];
36
assign mas_3_addr_out  = addr_in[3:0];
37
assign mas_4_addr_out  = addr_in[3:0];
38 131 jt_eaton
 
39
 
40
assign  mas_0_cs_out = (addr_in[7:4] == 4'h0) && cs_in;
41
assign  mas_1_cs_out = (addr_in[7:4] == 4'h1) && cs_in;
42
assign  mas_2_cs_out = (addr_in[7:4] == 4'h2) && cs_in;
43
assign  mas_3_cs_out = (addr_in[7:4] == 4'h3) && cs_in;
44
assign  mas_4_cs_out = (addr_in[7:4] == 4'h4) && cs_in;
45
 
46
 
47
 
48
assign   rdata_out = (rd_in && cs_in)?{8'h00,rdata_out_reg}:16'hffff;
49
 
50
always@(posedge clk)
51
if(reset || enable)
52
   begin
53
   wait_out  <= 1'b1;
54
   end
55
else
56
    wait_out <= 1'b0;
57
 
58
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.