OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [Projects/] [opencores.org/] [logic/] [ip/] [serial_rcvr/] [rtl/] [xml/] [serial_rcvr_fifo.design.xml] - Blame information for rev 131

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 131 jt_eaton
2
30
31
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
32
xmlns:socgen="http://opencores.org"
33
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
34
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
35
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
36
 
37
opencores.org
38
logic
39
serial_rcvr
40
fifo.design
41
 
42
 
43
 
44
45
 
46
 
47
baud_enable
48
wire
49
 
50
51
 
52
stop_cnt
53
wire
54
 
55
56
 
57
last_cnt
58
wire
59
 
60
61
 
62
next_shift_buffer
63
wire
64
65
WIDTH-10
66
67
 
68
next_parity_calc
69
wire
70
 
71
72
 
73
next_parity_samp
74
wire
75
 
76
77
 
78
next_frame_error
79
wire
80
 
81
82
 
83
parity_calc
84
reg
85
 
86
87
 
88
parity_samp
89
reg
90
 
91
92
 
93
frame_rdy
94
reg
95
 
96
97
 
98
start_detect
99
reg
100
 
101
102
 
103
rxd_pad_sig
104
reg
105
 
106
107
 
108
rdy_del
109
reg
110
111
10
112
113
 
114
shift_buffer
115
reg
116
117
WIDTH-10
118
119
 
120
frame_parity_error
121
reg
122
 
123
124
 
125
frame_error
126
reg
127
 
128
129
 
130
frame_avail
131
reg
132
 
133
134
 
135
 
136
divider_reset
137
wire
138
 
139
140
 
141
 
142
 
143
 
144
 
145
fifo_full
146
wire
147
 
148
149
 
150
 
151
fifo_empty
152
wire
153
 
154
155
 
156
 
157
fifo_over_run
158
wire
159
 
160
161
 
162
fifo_under_run
163
wire
164
 
165
166
 
167
fifo_din
168
wire
169
170
WIDTH+10
171
172
 
173
fifo_dout
174
wire
175
176
WIDTH+10
177
178
 
179
 
180
 
181
 
182
183
 
184
185
 
186
 
187
    
188
      clk
189
      
190
      
191
      
192
      
193
    
194
 
195
 
196
    
197
      reset
198
      
199
      
200
      
201
    
202
 
203
 
204
    
205
      divider_reset
206
      
207
    
208
 
209
    
210
      edge_enable
211
      
212
      
213
    
214
 
215
    
216
 
217
      
218
    
219
 
220
    
221
      baud_enable
222
      
223
      
224
    
225
 
226
 
227
    
228
      parity_enable
229
      
230
      
231
    
232
 
233
 
234
    
235
      parity_type
236
      
237
      
238
    
239
 
240
 
241
    
242
      parity_force
243
      
244
      
245
    
246
 
247
 
248
    
249
      stop_cnt
250
      
251
      
252
    
253
 
254
 
255
    
256
      last_cnt
257
      
258
      
259
    
260
 
261
 
262
 
263
    
264
      pad_in
265
      
266
      
267
    
268
 
269
    
270
      next_shift_buffer
271
      
272
      
273
    
274
 
275
    
276
      next_parity_calc
277
      
278
      
279
    
280
 
281
    
282
      next_parity_samp
283
      
284
      
285
    
286
 
287
    
288
      next_frame_error
289
      
290
    
291
 
292
 
293
    
294
      last_cnt
295
      
296
      
297
    
298
 
299
    
300
      fifo_din
301
      
302
    
303
 
304
 
305
    
306
      rcv_stb
307
      
308
      
309
    
310
 
311
 
312
    
313
      fifo_dout
314
      
315
    
316
 
317
    
318
      fifo_full
319
      
320
      
321
    
322
 
323
    
324
      fifo_empty
325
      
326
      
327
    
328
 
329
    
330
      fifo_over_run
331
      
332
      
333
    
334
 
335
    
336
      fifo_under_run
337
      
338
      
339
    
340
 
341
 
342
 
343
344
 
345
346
 
347
 
348
 
349
350
divider 
351
 
352
 4
353
 8
354
 0
355
356
357
 
358
359
serial_rcvr 
360
 
361
 WIDTH
362
 SIZE
363
 1
364
 STOP_VALUE
365
 
366
367
 
368
 
369
370
fifo 
371
 
372
 WIDTH+2
373
 RX_FIFO_SIZE
374
 RX_FIFO_WORDS
375
 
376
 
377
378
 
379
 
380
 
381
382
 
383
384
 
385
386
 
387
 
388
 
389
 
390
 
391
 
392
 
393
 
394
 
395
 
396
 
397
 
398
 
399

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.