OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [Projects/] [opencores.org/] [logic/] [ip/] [spi_interface/] [sim/] [icarus/] [default/] [wave.sav] - Blame information for rev 135

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 135 jt_eaton
[*]
2
[*] GTKWave Analyzer v3.3.66 (w)1999-2015 BSI
3
[*] Sun Apr 24 17:52:41 2016
4
[*]
5
[dumpfile] "/home/johne/socgen/work/opencores.org__logic/ip/spi_interface/sim/icarus/default/TestBench.vcd"
6
[dumpfile_mtime] "Sun Apr 24 17:46:10 2016"
7
[dumpfile_size] 141943
8
[savefile] "/home/johne/socgen/Projects/opencores.org/logic/ip/spi_interface/sim/icarus/default/wave.sav"
9
[timestart] 6400
10
[size] 1613 999
11
[pos] 58 0
12
*-14.000000 46140 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1
13
[treeopen] TB.
14
[treeopen] TB.test.
15
[treeopen] TB.test.dut.
16
[treeopen] TB.test.spi_model_master.
17
[sst_width] 387
18
[signals_width] 222
19
[sst_expanded] 1
20
[sst_vpaned_height] 300
21
@28
22
TB.test.clk
23
TB.test.reset
24
TB.test.spi_model_master.spi_clk_roll
25
@22
26
TB.test.spi_model_master.spi_clk_cnt[7:0]
27
@28
28
TB.test.spi_model_master.spi_clk_m
29
@22
30
TB.test.spi_model_master.send_packet.byte_out[31:0]
31
@28
32
TB.test.spi_model_master.START
33
@22
34
TB.test.spi_model_master.TX_Data[31:0]
35
TB.test.spi_model_master.send_packet.byte_back[31:0]
36
TB.test.spi_model_master.rx_data_exp[31:0]
37
TB.test.spi_model_master.bit_cnt[5:0]
38
TB.test.spi_model_master.RX_Data[31:0]
39
@28
40
TB.test.dut.spi.clk
41
TB.test.dut.spi.SCK
42
TB.test.dut.spi.SCK_risingedge
43
TB.test.dut.spi.SSEL_active
44
TB.test.dut.spi.byte_received
45
TB.test.dut.spi.MISO
46
@22
47
TB.test.dut.spi.byte_data_received[31:0]
48
@28
49
TB.test.dut.spi.byte_received
50
@23
51
TB.test.dut.spi.tx_data[15:0]
52
[pattern_trace] 1
53
[pattern_trace] 0

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.