OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [Projects/] [opencores.org/] [logic/] [ip/] [uart/] [sim/] [testbenches/] [xml/] [uart_def_lint.xml] - Blame information for rev 131

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 131 jt_eaton
2
30
31
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
32
xmlns:socgen="http://opencores.org"
33
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
34
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
35
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
36
 
37
opencores.org
38
logic
39
uart
40
def_lint
41
 
42
 
43
 
44
 
45
 
46
 
47
 
48
49
 
50
 
51
 
52
       
53
 
54
 
55
              
56
              Dut
57
              
58
              
59
                                   spirit:library="logic"
60
                                   spirit:name="uart"
61
                                   spirit:version="def_dut.params"/>
62
              
63
              
64
 
65
 
66
 
67
              
68
              lint
69
              :*Lint:*
70
              Verilog
71
              fs-lint
72
              
73
 
74
 
75
              
76
              rtl_check
77
              
78
              
79
                                   spirit:library="Testbench"
80
                                   spirit:name="toolflow"
81
                                   spirit:version="rtl_check"/>
82
              
83
              
84
 
85
      
86
 
87
 
88
 
89
 
90
 
91
 
92
 
93
 
94
95
 
96
 
97
 
98
 
99
 
100
  
101
 
102
 
103
 
104
    
105
      fs-lint
106
 
107
      
108
        
109
        ../verilog/lint/uart_def_lint
110
        verilogSourcemodule
111
      
112
 
113
 
114
    
115
 
116
 
117
 
118
 
119
  
120
 
121
 
122
 
123
 
124

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.