OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [common/] [opencores.org/] [Testbench/] [bfms/] [micro_bus_model/] [rtl/] [verilog/] [top.syn] - Blame information for rev 131

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 131 jt_eaton
 
2
module micro_bus_model_def
3
#(parameter addr_width   = 16,
4
  parameter OUT_DELAY    = 15,
5
  parameter OUT_WIDTH    = 10
6
  )
7
 
8
 
9
 (
10
  input wire                  clk,
11
  input wire                  reset,
12
 
13
  output reg [addr_width-1:0]           addr,
14
  output reg [7:0]            wdata,
15
  output reg                  rd,
16
  output reg                  wr,
17
  output reg                  cs,
18
 
19
  input  wire [7:0]           rdata
20
);
21
 
22
 
23
   reg [7:0]  exp_rdata;
24
   reg [7:0]  mask_rdata;
25
 
26
always@(posedge clk)
27
  if(reset)
28
    begin
29
      addr          <= 16'h0000;
30
      wdata         <=  8'h00;
31
      wr            <=  1'b0;
32
      rd            <=  1'b0;
33
      cs            <=  1'b1;
34
      exp_rdata     <=  8'h00;
35
      mask_rdata    <=  8'h00;
36
   end
37
 
38
 
39
 
40
io_probe_in
41
 #(.MESG         ("micro rdata Error"),
42
   .WIDTH        (8)
43
  )
44
rdata_tpb
45
  (
46
  .clk            (  clk        ),
47
  .expected_value (  exp_rdata  ),
48
  .mask           (  mask_rdata ),
49
  .signal         (  rdata      )
50
  );
51
 
52
 
53
endmodule
54
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.