OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [tools/] [bin/] [keywords] - Blame information for rev 130

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 130 jt_eaton
 
2
 
3
alias
4
always
5
always_comb
6
always_ff
7
always_latch
8
and
9
assert
10
assert_strobe
11
assign
12
automatic
13
before
14
begin
15
bind
16
bit
17
break
18
buf
19
bufif0
20
bufif1
21
byte
22
case
23
casex
24
casez
25
cell
26
chandle
27
class
28
clocking
29
cmos
30
config
31
const
32
constraint
33
context
34
continue
35
cover
36
deassign
37
default
38
defparam
39
design
40
disable
41
dist
42
do
43
edge
44
else
45
end
46
endcase
47
endclass
48
endclocking
49
endconfig
50
endfunction
51
endgenerate
52
endinterface
53
endmodule
54
endprimitive
55
endprogram
56
endproperty
57
endspecify
58
endsequence
59
endtable
60
endtask
61
enum
62
event
63
export
64
extends
65
extern
66
final
67
first_match
68
for
69
force
70
forever
71
fork
72
forkjoin
73
function
74
generate
75
genvar
76
highz0
77
highz1
78
if
79
iff
80
ifnone
81
import
82
incdir
83
include
84
initial
85
inout
86
input
87
inside
88
instance
89
int
90
integer
91
interface
92
intersect
93
join
94
join_any
95
join_none
96
large
97
liblist
98
library
99
local
100
localparam
101
logic
102
longint
103
macromodule
104
medium
105
modport
106
module
107
nand
108
negedge
109
new
110
nmos
111
nor
112
noshowcancelled
113
not
114
notif0
115
notif1
116
null
117
or
118
output
119
packed
120
parameter
121
pmos
122
posedge
123
primitive
124
priority
125
program
126
property
127
protected
128
pull0
129
pull1
130
pulldown
131
pullup
132
pulsestyle_onevent
133
pulsestyle_ondetect
134
pure
135
rand
136
randc
137
rcmos
138
ref
139
real
140
realtime
141
reg
142
release
143
repeat
144
return
145
rnmos
146
rpmos
147
rtran
148
rtranif0
149
rtranif1
150
scalared
151
sequence
152
shortint
153
shortreal
154
showcancelled
155
signed
156
small
157
solve
158
specify
159
specparam
160
static
161
string
162
strong0
163
strong1
164
struct
165
super
166
supply0
167
supply1
168
table
169
task
170
this
171
throughout
172
time
173
timeprecision
174
timeunit
175
tran
176
tranif0
177
tranif1
178
tri
179
tri0
180
tri1
181
triand
182
trior
183
trireg
184
type
185
typedef
186
union
187
unique
188
unsigned
189
use
190
var
191
vectored
192
virtual
193
void
194
wait
195
wait_order
196
wand
197
weak0
198
weak1
199
while
200
wire
201
with
202
within
203
wor
204
xnor
205
xor

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.