OpenCores
URL https://opencores.org/ocsvn/sport/sport/trunk

Subversion Repositories sport

[/] [sport/] [trunk/] [sim/] [work/] [wb_interface_sport/] [_primary.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 jeaander
library verilog;
2
use verilog.vl_types.all;
3
entity wb_interface_sport is
4
    port(
5
        wb_rst_i        : in     vl_logic;
6
        wb_clk_i        : in     vl_logic;
7
        wb_stb_i        : in     vl_logic;
8
        wb_ack_o        : out    vl_logic;
9
        wb_addr_i       : in     vl_logic_vector(5 downto 0);
10
        wb_we_i         : in     vl_logic;
11
        wb_dat_i        : in     vl_logic_vector(31 downto 0);
12
        wb_sel_i        : in     vl_logic_vector(3 downto 0);
13
        wb_dat_o        : out    vl_logic_vector(31 downto 0);
14
        wb_cyc_i        : in     vl_logic;
15
        wb_cti_i        : in     vl_logic_vector(2 downto 0);
16
        wb_err_o        : out    vl_logic;
17
        wb_rty_o        : out    vl_logic;
18
        rxsampleCnt     : out    vl_logic_vector(4 downto 0);
19
        rxpacketCnt     : out    vl_logic_vector(9 downto 0);
20
        txsampleCnt     : out    vl_logic_vector(4 downto 0);
21
        txpacketCnt     : out    vl_logic_vector(9 downto 0);
22
        dat_i           : in     vl_logic_vector(31 downto 0);
23
        dat_o           : out    vl_logic_vector(31 downto 0);
24
        rxsecEn         : out    vl_logic;
25
        rxlateFS_earlyFSn: out    vl_logic;
26
        txsecEn         : out    vl_logic;
27
        txlateFS_earlyFSn: out    vl_logic;
28
        tx_actHi        : out    vl_logic;
29
        rx_actHi        : out    vl_logic;
30
        msbFirst        : out    vl_logic;
31
        tx_start        : out    vl_logic;
32
        rx_start        : out    vl_logic;
33
        rx_int          : out    vl_logic
34
    );
35
end wb_interface_sport;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.