OpenCores
URL https://opencores.org/ocsvn/sqmusic/sqmusic/trunk

Subversion Repositories sqmusic

[/] [sqmusic/] [trunk/] [ver/] [sq_opn_basic.v] - Blame information for rev 18

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 18 gryzor
/*
2
        SQmusic
3
 
4
  (c) Jose Tejada Gomez, 9th May 2013
5
  You can use this file following the GNU GENERAL PUBLIC LICENSE version 3
6
  Read the details of the license in:
7
  http://www.gnu.org/licenses/gpl.txt
8
 
9
  Send comments to: jose.tejada@ieee.org
10
 
11
*/
12
 
13
`timescale 1ns/1ps
14
 
15
module sq_opn_basic;
16
 
17
reg clk, reset_n;
18
 
19
parameter fnumber = 11'h40E;
20
parameter block   =  3'h4;
21
parameter multiple=  4'h1;
22
 
23
initial begin
24
  $dumpvars(0,sq_opn_basic);
25
  $dumpon;
26
  reset_n = 0;
27
  #300 reset_n=1;
28
  #1e8 // 10ms
29
  $finish;
30
end
31
 
32
always begin
33
  clk = 0;
34
  forever #(125/2) clk = ~clk & reset_n;
35
end
36
 
37
sq_slot slot(
38
        .clk     (clk),
39
        .reset_n (reset_n),
40
        .fnumber (fnumber),
41
        .block   (block),
42
  .multiple(multiple)
43
);
44
 
45
 
46
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.