OpenCores
URL https://opencores.org/ocsvn/srdydrdy_lib/srdydrdy_lib/trunk

Subversion Repositories srdydrdy_lib

[/] [srdydrdy_lib/] [trunk/] [examples/] [bridge/] [env/] [gmii_driver.v] - Blame information for rev 24

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 ghutchis
// Send an ethernet packet over GMII
2
 
3
module gmii_driver
4
  (output reg [7:0] rxd,
5
   output reg       rx_dv,
6
   output reg       rx_clk);
7
 
8
  integer           startup_skew;
9
 
10
  reg [7:0]          rxbuf [0:2048];
11
  reg [31:0]         crc32_result;
12
 
13
  // begin start clock with random skew amount
14
  initial
15
    begin
16
      startup_skew = {$random} % 200;
17
      rx_clk = 0;
18
      rx_dv = 0;
19
      rxd   = 0;
20
      repeat (startup_skew) #0.1;
21
      forever rx_clk = #4 ~rx_clk;
22
    end
23
 
24 24 ghutchis
  task gencrc32;
25
    input [7:0]   length;
26
    output [31:0] icrc;
27
    reg [31:0]    nxt_icrc;
28
    integer       i, len;
29
    begin
30
      icrc = {32{1'b1}};
31
 
32
      for (len=0; len<length; len=len+1)
33
        begin
34
          nxt_icrc[7:0] = icrc[7:0] ^ rxbuf[len];
35
          nxt_icrc[31:8] = icrc[31:8];
36
 
37
          for (i=0; i<8; i=i+1)
38
            begin
39
              if (nxt_icrc[0])
40
                nxt_icrc = nxt_icrc[31:1] ^ 32'hEDB88320;
41
              else
42
                nxt_icrc = nxt_icrc[31:1];
43
            end
44
 
45
          icrc = nxt_icrc;
46
        end // for (len=0; len<length; len=len+1)
47
 
48
      icrc = ~icrc;
49
    end
50
  endtask
51
 
52
/* -----\/----- EXCLUDED -----\/-----
53 8 ghutchis
  // Copied from: http://www.mindspring.com/~tcoonan/gencrc.v
54
  //
55
  // Generate a (DOCSIS) CRC32.
56
  //
57
  // Uses the GLOBAL variables:
58
  //
59
  //    Globals referenced:
60
  //       parameter    CRC32_POLY = 32'h04C11DB7;
61
  //       reg [ 7:0]   crc32_packet[0:255];
62
  //       integer      crc32_length;
63
  //
64
  //    Globals modified:
65
  //       reg [31:0]   crc32_result;
66
  //
67
  localparam    CRC32_POLY = 32'h04C11DB7;
68
  task gencrc32;
69
    input [31:09] crc32_length;
70
    integer     cbyte, cbit;
71
    reg         msb;
72
    reg [7:0]   current_cbyte;
73
    reg [31:0]  temp;
74
    begin
75
      crc32_result = 32'hffffffff;
76
      for (cbyte = 0; cbyte < crc32_length; cbyte = cbyte + 1) begin
77
        current_cbyte = rxbuf[cbyte];
78
         for (cbit = 0; cbit < 8; cbit = cbit + 1) begin
79
            msb = crc32_result[31];
80
            crc32_result = crc32_result << 1;
81
            if (msb != current_cbyte[cbit]) begin
82
               crc32_result = crc32_result ^ CRC32_POLY;
83
               crc32_result[0] = 1;
84
            end
85
         end
86
      end
87
 
88
      // Last step is to "mirror" every bit, swap the 4 bytes, and then complement each bit.
89
      //
90
      // Mirror:
91
      for (cbit = 0; cbit < 32; cbit = cbit + 1)
92
         temp[31-cbit] = crc32_result[cbit];
93
 
94
      // Swap and Complement:
95
      crc32_result = ~{temp[7:0], temp[15:8], temp[23:16], temp[31:24]};
96
   end
97
endtask
98 24 ghutchis
 -----/\----- EXCLUDED -----/\----- */
99 8 ghutchis
 
100 11 ghutchis
  task print_packet;
101
    input [31:0] length;
102
    integer      i;
103
    begin
104
      for (i=0; i<length; i=i+1)
105
        begin
106
          if (i % 16 == 0) $write ("%x: ", i[15:0]);
107
          $write ("%x ", rxbuf[i]);
108
          if (i % 16 == 7) $write ("| ");
109
          if (i % 16 == 15) $write ("\n");
110
        end
111
      if (i % 16 != 0) $write ("\n");
112
    end
113
  endtask
114
 
115 8 ghutchis
  task send_packet;
116
    input [47:0] da, sa;
117
    input [15:0] length;
118
    integer      p;
119
    begin
120
      { rxbuf[0],rxbuf[1],rxbuf[2],rxbuf[3],rxbuf[4],rxbuf[5] } = da;
121
      { rxbuf[6],rxbuf[7],rxbuf[8],rxbuf[9],rxbuf[10],rxbuf[11] } = sa;
122
      for (p=12; p<length; p=p+1)
123
        rxbuf[p] = $random;
124
 
125 24 ghutchis
      //gencrc32 (length);
126
      gencrc32 (length, crc32_result);
127
      { rxbuf[length-1], rxbuf[length-2],
128
        rxbuf[length-3], rxbuf[length-4] } = crc32_result;
129 8 ghutchis
 
130
      $display ("%m : Sending packet DA=%x SA=%x of length %0d", da, sa, length);
131 11 ghutchis
      print_packet (length);
132
 
133 8 ghutchis
      repeat (7)
134
        begin
135
          @(posedge rx_clk);
136
          rx_dv <= #1 1;
137
          rxd   <= #1 `GMII_PRE;
138
        end
139
 
140
      @(posedge rx_clk);
141
      rxd <= #1 `GMII_SFD;
142
 
143
      p = 0;
144
      while (p < length)
145
        begin
146
          @(posedge rx_clk);
147
          rxd <= #1 rxbuf[p];
148
          p = p + 1;
149
        end
150
 
151
      // complete 12B inter frame gap
152
      repeat (12)
153
        begin
154
          @(posedge rx_clk);
155
          rx_dv <= #1 0;
156
          rxd   <= #1 0;
157
        end
158
    end
159
  endtask // send_packet
160
 
161
 
162
endmodule // gmii_driver

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.