OpenCores
URL https://opencores.org/ocsvn/ssbcc/ssbcc/trunk

Subversion Repositories ssbcc

[/] [ssbcc/] [trunk/] [core/] [9x8/] [build/] [vivado-xc7/] [uc_led/] [make] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 sinclairrf
#!/bin/bash
2
#
3
# Build the micro controller and convert it to a Vivado IP.
4
 
5
# Print status message.
6
echo ;
7
echo "***";
8
echo "*** Creating IP for `pwd`";
9
echo "***";
10
 
11
# Remove SSBCC and Vivado outputs to ensure a clean core generation.
12
rm -rf \
13
  *.9x8-meta    \
14
  *.mem         \
15
  *_pkg.vhd     \
16
  component.xml \
17
  edit_ip*      \
18
  mip           \
19
  vivado*.jou   \
20
  vivado*.log   \
21
  vivado*.tcl   \
22
  vivado.*      \
23
  xgui          \
24
  ;
25
 
26
# Build the micro controller.
27 7 sinclairrf
../../../../../ssbcc                            \
28
  -q                                            \
29
  --synth-instr-mem '(* ROM_STYLE="BLOCK" *)'   \
30
  --rand-instr-mem                              \
31
  --define-clog2                                \
32
  uc_led.9x8                                    \
33 6 sinclairrf
|| exit 1;
34
 
35
# Generate the core.
36
time vivado -mode tcl <
37
create_project mip mip -ip
38
ipx::infer_core "."
39
set_property "vendor" "sinclairrf.com" [ipx::current_core]
40
set_property "library" "none" [ipx::current_core]
41
set_property "version" "1.0" [ipx::current_core]
42
set_property "display_name" "micro controller" [ipx::current_core]
43
set_property "previous_version_for_upgrade" {sinclairrf.com:user:uc_led:1.0} [ipx::current_core]
44
set_property "supported_families" {{artix7} {Production} {kintex7} {Pre-Production} {virtex7} {Pre-Production}} [ipx::current_core]
45
set_property "supports_vivado" 1 [ipx::current_core]
46
ipx::save_core [ipx::current_core]
47
EOF

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.