OpenCores
URL https://opencores.org/ocsvn/ssbcc/ssbcc/trunk

Subversion Repositories ssbcc

[/] [ssbcc/] [trunk/] [core/] [9x8/] [tb/] [core/] [tb.v] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 sinclairrf
/*******************************************************************************
2
 *
3
 * Copyright 2012, Sinclair R.F., Inc.
4
 *
5
 * Test bench for core.v.
6
 *
7
 ******************************************************************************/
8
 
9
`timescale 1ns/1ps
10
 
11
module tb;
12
 
13
reg s_clk = 1'b1;
14
always @ (s_clk) s_clk <= #5 ~s_clk;
15
 
16
reg s_rst = 1'b1;
17
initial begin
18
  repeat (5) @ (posedge s_clk);
19
  s_rst <= 1'b0;
20 7 sinclairrf
  repeat (261) @ (posedge s_clk);
21 2 sinclairrf
  @ (negedge s_clk); // ensure $write's finish before the $finish is performed
22
  $finish;
23
end
24
 
25
core uut(
26
  // synchronous reset and processor clock
27
  .i_rst        (s_rst),
28
  .i_clk        (s_clk)
29
);
30
 
31
initial begin
32
  $dumpfile("tb.vcd");
33
  $dumpvars();
34
end
35
 
36
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.