OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

[/] [t6507lp/] [trunk/] [syn/] [cadence/] [scripts/] [LP_io.cmd] - Blame information for rev 257

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 257 creep
# script written by Samuel N. Pagliarini
2
# Cadence Encounter(R) RTL Compiler
3
 
4
set SVNPATH /home/nscad/samuel/Desktop/svn_atari/trunk/
5
set FILE_LIST {t6507lp_io.v t6507lp.v t6507lp_alu.v t6507lp_fsm.v}
6
 
7
set_attr lp_insert_clock_gating true /
8
set_attribute lp_insert_operand_isolation true /
9
#set_attr dft_scan_style muxed_scan /
10
 
11
set_attribute hdl_search_path $SVNPATH/rtl/verilog/
12
set_attr lib_search_path $SVNPATH/syn/cadence/libs/
13
 
14
read_hdl $FILE_LIST -v2001
15
set_attr library {D_CELLSL_3_3V.lib IO_CELLS_33.lib}
16
 
17
set_attribute avoid false [find / -libcell LGC*]
18
set_attribute avoid false [find / -libcell LSG*]
19
set_attribute avoid false [find / -libcell LSOGC*]
20
 
21
set_attribute avoid true [find / -libcell EN2LX1]
22
# the EN2LX1 cell always reports violations. i have also declared the dont use attribute of the cell in the .lib file
23
 
24
set_attribute lef_library {xc06_m3_FE.lef D_CELLSL.lef IO_CELLS.lef}
25
set_attr cap_table_file xc06m3_typ.CapTbl
26
set_attr interconnect_mode ple /
27
 
28
elaborate
29
define_clock -period 1000000 -name 1MHz [find [ find / -design t6507lp_io] -port clk]
30
set_attribute slew {0 0 1 1} [find / -clock 1MHz]
31
 
32
external_delay -clock [find / -clock 1MHz] -output 100 [all_outputs]
33
external_delay -clock [find / -clock 1MHz] -input 100 [all_inputs]
34
#0.1 ns each
35
 
36
read_vcd simvision.vcd -module t6507lp
37
 
38
#check_design
39
 
40
report timing -lint
41
 
42
synthesize -to_generic -effort high
43
synthesize -to_mapped -effort high -no_incremental
44
clock_gating share
45
synthesize -incremental -effort high
46
 
47
write_encounter design -basename /home/nscad/samuel/Desktop/svn_atari/trunk/syn/cadence/results/t6507lp_io t6507lp_io

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.