OpenCores
URL https://opencores.org/ocsvn/tiny_encryption_algorithm/tiny_encryption_algorithm/trunk

Subversion Repositories tiny_encryption_algorithm

[/] [tiny_encryption_algorithm/] [trunk/] [tea1tb.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 feketebv
--------------------------------------------------------------------------------
2
-- Company: 
3
-- Engineer:
4
--
5
-- Create Date:   22:14:25 07/09/2015
6
-- Design Name:   
7
-- Module Name:   C:/Users/FeketeBV/projects/fpga/tea/tea1tb.vhd
8
-- Project Name:  tea
9
-- Target Device:  
10
-- Tool versions:  
11
-- Description:   
12
-- 
13
-- VHDL Test Bench Created by ISE for module: tea1
14
-- 
15
-- Dependencies:
16
-- 
17
-- Revision:
18
-- Revision 0.01 - File Created
19
-- Additional Comments:
20
--
21
-- Notes: 
22
-- This testbench has been automatically generated using types std_logic and
23
-- std_logic_vector for the ports of the unit under test.  Xilinx recommends
24
-- that these types always be used for the top-level I/O of a design in order
25
-- to guarantee that the testbench will bind correctly to the post-implementation 
26
-- simulation model.
27
--------------------------------------------------------------------------------
28
LIBRARY ieee;
29
USE ieee.std_logic_1164.ALL;
30
 
31
-- Uncomment the following library declaration if using
32
-- arithmetic functions with Signed or Unsigned values
33
--USE ieee.numeric_std.ALL;
34
 
35
ENTITY tea1tb IS
36
END tea1tb;
37
 
38
ARCHITECTURE behavior OF tea1tb IS
39
 
40
    -- Component Declaration for the Unit Under Test (UUT)
41
 
42
    COMPONENT tea1
43
    PORT(
44
         clock, reset : IN  std_logic;
45
         start : IN  std_logic;
46
         ready : OUT  std_logic;
47
         key : IN  std_logic_vector(127 downto 0);
48
         text : IN  std_logic_vector(63 downto 0);
49
         cipher : OUT  std_logic_vector(63 downto 0)
50
        );
51
    END COMPONENT;
52
 
53
 
54
   --Inputs
55
   signal reset : std_logic := '0';
56
   signal clock : std_logic := '0';
57
   signal start : std_logic := '0';
58
   signal key : std_logic_vector(127 downto 0) := (others => '0');
59
   signal text : std_logic_vector(63 downto 0) := (others => '0');
60
 
61
        --Outputs
62
   signal ready : std_logic;
63
   signal cipher : std_logic_vector(63 downto 0);
64
 
65
   -- Clock period definitions
66
   constant clock_period : time := 10 ns;
67
 
68
BEGIN
69
 
70
        -- Instantiate the Unit Under Test (UUT)
71
   uut: tea1 PORT MAP (
72
                         reset => reset,
73
          clock => clock,
74
          start => start,
75
          ready => ready,
76
          key => key,
77
          text => text,
78
          cipher => cipher
79
        );
80
 
81
   -- Clock process definitions
82
   clock_process :process
83
   begin
84
                clock <= '0';
85
                wait for clock_period/2;
86
                clock <= '1';
87
                wait for clock_period/2;
88
   end process;
89
 
90
 
91
   -- Stimulus process
92
   stim_proc: process
93
   begin
94
      -- hold reset state for 100 ns.
95
      reset <= '1';
96
                wait for 100 ns;
97
                reset <= '0';
98
      wait for clock_period*10;
99
      key <= x"abcdef00111111111111111187654321";
100
                text <= x"1234567812345678";
101
                wait for clock_period;
102
                start <= '1';
103
      wait for clock_period;
104
      start <= '0';
105
                wait for clock_period*65;
106
      wait;
107
 
108
      -- insert stimulus here 
109
 
110
      wait;
111
   end process;
112
 
113
END;
114
 
115
--v0:1d981fcc
116
--v1:101a9222
117
--v0:f1ae2543
118
--v1:c6cfb000
119
--v0:33fff5de
120
--v1:b9ab9356
121
--v0:3a4964ee
122
--v1:64cbc15a
123
--v0:f6407b1b
124
--v1:2ffeacfb
125
--v0:66cb0f13
126
--v1:fed0594b
127
--v0:a604f863
128
--v1:36e8273b
129
--v0:759109fb
130
--v1:d8ff3788
131
--v0:de04d860
132
--v1:717d4bb
133
--v0:baeeacf2
134
--v1:ee5fbf35
135
--v0:8a137045
136
--v1:4282f410
137
--v0:9bc07471
138
--v1:be6c77af
139
--v0:59d5e13c
140
--v1:20c12d28
141
--v0:a07cbbd9
142
--v1:1049a6b0
143
--v0:7061f4b9
144
--v1:1e0c5b44
145
--v0:ec0a6717
146
--v1:cb281d62
147
--v0:4e64e12d
148
--v1:5435e100
149
--v0:fbd2c467
150
--v1:ba5e62cb
151
--v0:3fa5c4d7
152
--v1:15c3f100
153
--v0:bfa7d5a3
154
--v1:b77c0ffb
155
--v0:1b8b950c
156
--v1:2af4cb3b
157
--v0:2eb95a6
158
--v1:3a93f68b
159
--v0:56ba92e4
160
--v1:9a2374d0
161
--v0:a9e2f5a2
162
--v1:fb6ea836
163
--v0:f25dc136
164
--v1:dbb40575
165
--v0:aa7b0aa8
166
--v1:91b1212b
167
--v0:a0de430d
168
--v1:904ada94
169
--v0:e8bbe461
170
--v1:a95c8833
171
--v0:878f458c
172
--v1:f33397af
173
--v0:67170e0e
174
--v1:cf439eec
175
--v0:30651c8
176
--v1:9071a21c
177
--v0:cf9175c4
178
--v1:bf545d37

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.