OpenCores
URL https://opencores.org/ocsvn/tiny_spi/tiny_spi/trunk

Subversion Repositories tiny_spi

[/] [tiny_spi/] [trunk/] [sopc/] [tiny_spi_hw.tcl] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 hippo5329
# +-----------------------------------
2
# | 
3
# | tiny_spi "tiny_spi" v1.0
4
# | Thomas Chou 2010.01.19.18:07:51
5
# | SPI 8 bits
6
# | 
7
# | tiny_spi/hdl/tiny_spi.v
8
# | 
9
# |    ./hdl/tiny_spi.v syn, sim
10
# | 
11
# +-----------------------------------
12
 
13
# +-----------------------------------
14
# | module tiny_spi
15
# | 
16
set_module_property DESCRIPTION "tiny SPI 8 bits"
17
set_module_property NAME tiny_spi
18
set_module_property VERSION 1.0
19
set_module_property INTERNAL false
20
set_module_property GROUP "Interface Protocols/Serial"
21
set_module_property AUTHOR "Thomas Chou"
22
set_module_property DISPLAY_NAME "OpenCores tiny SPI"
23
set_module_property TOP_LEVEL_HDL_FILE hdl/tiny_spi.v
24
set_module_property TOP_LEVEL_HDL_MODULE tiny_spi
25
set_module_property INSTANTIATE_IN_SYSTEM_MODULE true
26
set_module_property EDITABLE true
27
set_module_property ANALYZE_HDL TRUE
28
# | 
29
# +-----------------------------------
30
 
31
# +-----------------------------------
32
# | files
33
# | 
34
add_file hdl/tiny_spi.v {SYNTHESIS SIMULATION}
35
# | 
36
# +-----------------------------------
37
 
38
# +-----------------------------------
39
# | parameters
40
# | 
41
# | 
42
# +-----------------------------------
43
add_parameter BAUD_WIDTH INTEGER 8
44
set_parameter_property BAUD_WIDTH DEFAULT_VALUE 8
45
set_parameter_property BAUD_WIDTH DISPLAY_NAME BAUD_WIDTH
46
set_parameter_property BAUD_WIDTH UNITS None
47
set_parameter_property BAUD_WIDTH AFFECTS_GENERATION false
48
set_parameter_property BAUD_WIDTH HDL_PARAMETER true
49
add_parameter BAUD_DIV INTEGER 0
50
set_parameter_property BAUD_DIV DEFAULT_VALUE 0
51
set_parameter_property BAUD_DIV DISPLAY_NAME BAUD_DIV
52
set_parameter_property BAUD_DIV UNITS None
53
set_parameter_property BAUD_DIV AFFECTS_GENERATION false
54
set_parameter_property BAUD_DIV HDL_PARAMETER true
55
add_parameter SPI_MODE INTEGER 0
56
set_parameter_property SPI_MODE DEFAULT_VALUE 0
57
set_parameter_property SPI_MODE DISPLAY_NAME SPI_MODE
58
set_parameter_property SPI_MODE UNITS None
59
set_parameter_property SPI_MODE AFFECTS_GENERATION false
60
set_parameter_property SPI_MODE HDL_PARAMETER true
61
 
62
# +-----------------------------------
63
# | display items
64
# | 
65
# | 
66
# +-----------------------------------
67
 
68
# +-----------------------------------
69
# | connection point d
70
# | 
71
add_interface d avalon end
72
set_interface_property d addressAlignment NATIVE
73
set_interface_property d addressUnits WORDS
74
set_interface_property d associatedClock clk
75
set_interface_property d associatedReset reset
76
set_interface_property d burstOnBurstBoundariesOnly false
77
set_interface_property d explicitAddressSpan 0
78
set_interface_property d holdTime 0
79
set_interface_property d isMemoryDevice false
80
set_interface_property d isNonVolatileStorage false
81
set_interface_property d linewrapBursts false
82
set_interface_property d maximumPendingReadTransactions 0
83
set_interface_property d printableDevice false
84
set_interface_property d readLatency 0
85
set_interface_property d readWaitStates 0
86
set_interface_property d readWaitTime 0
87
set_interface_property d setupTime 0
88
set_interface_property d timingUnits Cycles
89
set_interface_property d writeWaitTime 0
90
 
91
set_interface_property d ENABLED true
92
 
93
add_interface_port d stb_i chipselect Input 1
94
add_interface_port d we_i write Input 1
95
add_interface_port d dat_i writedata Input 32
96
add_interface_port d adr_i address Input 3
97
add_interface_port d dat_o readdata Output 32
98
# | 
99
# +-----------------------------------
100
 
101
# +-----------------------------------
102
# | connection point clk
103
# | 
104
add_interface clk clock end
105
 
106
set_interface_property clk ENABLED true
107
 
108
add_interface_port clk clk_i clk Input 1
109
# | 
110
# +-----------------------------------
111
 
112
 
113
# +-----------------------------------
114
# | connection point reset
115
# | 
116
add_interface reset reset end
117
set_interface_property reset associatedClock clk
118
set_interface_property reset synchronousEdges DEASSERT
119
 
120
set_interface_property reset ENABLED true
121
 
122
add_interface_port reset rst_i reset Input 1
123
# | 
124
# +-----------------------------------
125
 
126
# +-----------------------------------
127
# | connection point irq
128
# | 
129
add_interface irq interrupt end
130
set_interface_property irq associatedAddressablePoint d
131
set_interface_property irq associatedClock clk
132
set_interface_property irq associatedReset reset
133
set_interface_property irq ENABLED true
134
 
135
add_interface_port irq int_o irq Output 1
136
# | 
137
# +-----------------------------------
138
 
139
# +-----------------------------------
140
# | connection point spi
141
# | 
142
add_interface spi conduit end
143
 
144
set_interface_property spi ENABLED true
145
 
146
add_interface_port spi MOSI export Output 1
147
add_interface_port spi SCLK export Output 1
148
add_interface_port spi MISO export Input 1
149
# | 
150
# +-----------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.