OpenCores
URL https://opencores.org/ocsvn/tiny_tate_bilinear_pairing/tiny_tate_bilinear_pairing/trunk

Subversion Repositories tiny_tate_bilinear_pairing

[/] [tiny_tate_bilinear_pairing/] [trunk/] [group_size_is_911_bits/] [rtl/] [rom.v] - Blame information for rev 15

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 11 homer.hsin
/*
2 15 homer.hsin
 * Copyright 2012, Homer Hsing <homer.hsing@gmail.com>
3
 *
4
 * Licensed under the Apache License, Version 2.0 (the "License");
5
 * you may not use this file except in compliance with the License.
6
 * You may obtain a copy of the License at
7
 *
8
 * http://www.apache.org/licenses/LICENSE-2.0
9
 *
10
 * Unless required by applicable law or agreed to in writing, software
11
 * distributed under the License is distributed on an "AS IS" BASIS,
12
 * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
13
 * See the License for the specific language governing permissions and
14
 * limitations under the License.
15
 */
16 11 homer.hsin
 
17
module rom (clk, addr, out);
18
   input clk;
19
   input [8:0] addr;
20
   output reg [28:0] out;
21
 
22
   always @(posedge clk)
23
      case (addr)
24
         0: out <= 29'h1860042;
25
         1: out <= 29'h30d0041;
26
         2: out <= 29'h38f0041;
27
         3: out <= 29'h60046;
28
         4: out <= 29'hb01b180;
29
         5: out <= 29'hb810041;
30
         6: out <= 29'hb8bb197;
31
         7: out <= 29'hc0bb187;
32
         8: out <= 29'hcb1b187;
33
         9: out <= 29'h7ae8059;
34
         10: out <= 29'h79e8045;
35
         11: out <= 29'hbb1b185;
36
         12: out <= 29'hc2fb180;
37
         13: out <= 29'hb0fb196;
38
         14: out <= 29'h8b00056;
39
         15: out <= 29'h8a20051;
40
         16: out <= 29'h90a0045;
41
         17: out <= 29'h98fb180;
42
         18: out <= 29'h9ae8053;
43
         19: out <= 29'ha020041;
44
         20: out <= 29'ha8e0047;
45
         21: out <= 29'h1f0041;
46
         22: out <= 29'hb230041;
47
         23: out <= 29'hba50041;
48
         24: out <= 29'hc270041;
49
         25: out <= 29'hca90041;
50
         26: out <= 29'hd2b0041;
51
         27: out <= 29'h7800057;
52
         28: out <= 29'h79e0059;
53
         29: out <= 29'h8ac0058;
54
         30: out <= 29'h8a2005a;
55
         31: out <= 29'h8a20051;
56
         32: out <= 29'h92e8059;
57
         33: out <= 29'h9b48058;
58
         34: out <= 29'ha320041;
59
         35: out <= 29'hab4005a;
60
         36: out <= 29'h30d0081;
61
         37: out <= 29'h30c8042;
62
         38: out <= 29'h38f0081;
63
         39: out <= 29'h38e0047;
64
         40: out <= 29'h60046;
65
         41: out <= 29'hb000040;
66
         42: out <= 29'hb8bb187;
67
         43: out <= 29'h2db180;
68
         44: out <= 29'hc000056;
69
         45: out <= 29'hc808056;
70
         46: out <= 29'hd1e0054;
71
         47: out <= 29'hdb40052;
72
         48: out <= 29'he348052;
73
         49: out <= 29'he9e8054;
74
         50: out <= 29'hf3a8053;
75
         51: out <= 29'heba0053;
76
         52: out <= 29'hfa20055;
77
         53: out <= 29'h103e0053;
78
         54: out <= 29'h10be8053;
79
         55: out <= 29'h112e0056;
80
         56: out <= 29'hb2e8056;
81
         57: out <= 29'h11a28055;
82
         58: out <= 29'h12460052;
83
         59: out <= 29'h11c68052;
84
         60: out <= 29'h12b00057;
85
         61: out <= 29'h13360060;
86
         62: out <= 29'h13800062;
87
         63: out <= 29'h143c0064;
88
         64: out <= 29'h14b20057;
89
         65: out <= 29'h15380061;
90
         66: out <= 29'h15800056;
91
         67: out <= 29'h163a0063;
92
         68: out <= 29'hc31b19b;
93
         69: out <= 29'hdcbb1a6;
94
         70: out <= 29'h102fb1a0;
95
         71: out <= 29'hf01b19e;
96
         72: out <= 29'h12cfb1a8;
97
         73: out <= 29'h1145b1a4;
98
         74: out <= 29'hcb3b19c;
99
         75: out <= 29'he53b1aa;
100
         76: out <= 29'hbafb1a1;
101
         77: out <= 29'h1b19d;
102
         78: out <= 29'hed7b1ac;
103
         79: out <= 29'hb2db1a3;
104
         80: out <= 29'h10b00065;
105
         81: out <= 29'h11c0005d;
106
         82: out <= 29'h12408057;
107
         83: out <= 29'h13328058;
108
         84: out <= 29'hbae0060;
109
         85: out <= 29'hbc48057;
110
         86: out <= 29'hbae0056;
111
         87: out <= 29'hc320058;
112
         88: out <= 29'hc30805e;
113
         89: out <= 29'hc308040;
114
         90: out <= 29'hcc68061;
115
         91: out <= 29'hcb2805e;
116
         92: out <= 29'hb320056;
117
         93: out <= 29'hcc20063;
118
         94: out <= 29'hcb2805b;
119
         95: out <= 29'hcb20062;
120
         96: out <= 29'h320040;
121
         97: out <= 29'hcc80066;
122
         98: out <= 29'hf488066;
123
         99: out <= 29'hf3c005c;
124
         100: out <= 29'hf3c805b;
125
         101: out <= 29'h102e0058;
126
         102: out <= 29'hbae8058;
127
         103: out <= 29'hbae005c;
128
         104: out <= 29'hbae005b;
129
         105: out <= 29'hbae8065;
130
         106: out <= 29'hbae805d;
131
         107: out <= 29'h7ac8052;
132
         108: out <= 29'h8808053;
133
         109: out <= 29'h328052;
134
         110: out <= 29'h8054;
135
         111: out <= 29'hb3c8053;
136
         112: out <= 29'hb2c8055;
137
         113: out <= 29'ha40805a;
138
         114: out <= 29'haae805f;
139
         115: out <= 29'h9008041;
140
         116: out <= 29'h9ac8041;
141
         117: out <= 29'h49f0041;
142
         118: out <= 29'h1e0052;
143
         119: out <= 29'h54;
144
         120: out <= 29'hb1fb18f;
145
         121: out <= 29'hb9fb192;
146
         122: out <= 29'hc25b194;
147
         123: out <= 29'hca9b194;
148
         124: out <= 29'h1b180;
149
         125: out <= 29'hbae0058;
150
         126: out <= 29'hc2c8058;
151
         127: out <= 29'hcb28057;
152
         128: out <= 29'h57;
153
         129: out <= 29'h8056;
154
         130: out <= 29'hb220053;
155
         131: out <= 29'hb2c0055;
156
         132: out <= 29'hba3b191;
157
         133: out <= 29'hd23b193;
158
         134: out <= 29'hda7b195;
159
         135: out <= 29'he2bb195;
160
         136: out <= 29'hb2db196;
161
         137: out <= 29'hd34005b;
162
         138: out <= 29'hdae805b;
163
         139: out <= 29'he38805a;
164
         140: out <= 29'hb2c005a;
165
         141: out <= 29'hb2c8057;
166
         142: out <= 29'hb9e0052;
167
         143: out <= 29'hd1e0054;
168
         144: out <= 29'hea40054;
169
         145: out <= 29'hf220053;
170
         146: out <= 29'hfa20055;
171
         147: out <= 29'h10260055;
172
         148: out <= 29'h109fb191;
173
         149: out <= 29'h1125b193;
174
         150: out <= 29'h11a9b195;
175
         151: out <= 29'hbafb19e;
176
         152: out <= 29'hd35b19f;
177
         153: out <= 29'hebbb1a0;
178
         154: out <= 29'hf428062;
179
         155: out <= 29'hfbc8063;
180
         156: out <= 29'hfbe005d;
181
         157: out <= 29'hbae805e;
182
         158: out <= 29'hbae005d;
183
         159: out <= 29'hd34805e;
184
         160: out <= 29'heb0805b;
185
         161: out <= 29'hf32805c;
186
         162: out <= 29'h10008056;
187
         163: out <= 29'hc30005b;
188
         164: out <= 29'hcb2005c;
189
         165: out <= 29'h56;
190
         166: out <= 29'hb300059;
191
         167: out <= 29'hdb08040;
192
         168: out <= 29'he328058;
193
         169: out <= 29'h1080805c;
194
         170: out <= 29'h1131b198;
195
         171: out <= 29'h11b3b199;
196
         172: out <= 29'h1201b180;
197
         173: out <= 29'hcb1b199;
198
         174: out <= 29'hc31b180;
199
         175: out <= 29'h1b196;
200
         176: out <= 29'hb45b19b;
201
         177: out <= 29'hdc7b19c;
202
         178: out <= 29'he49b1a1;
203
         179: out <= 29'hb2c005b;
204
         180: out <= 29'hb2c005c;
205
         181: out <= 29'hdac0041;
206
         182: out <= 29'he370041;
207
         183: out <= 29'he37b19c;
208
         184: out <= 29'h10b90041;
209
         185: out <= 29'hdb7b1a1;
210
         186: out <= 29'h10b70081;
211
         187: out <= 29'he39b1a1;
212
         188: out <= 29'h10b900c1;
213
         189: out <= 29'hdb7b1a1;
214
         190: out <= 29'h10b70201;
215
         191: out <= 29'hdb7b1a1;
216
         192: out <= 29'h10b70141;
217
         193: out <= 29'he39b1a1;
218
         194: out <= 29'he390401;
219
         195: out <= 29'hdb7b19c;
220
         196: out <= 29'he370941;
221
         197: out <= 29'hdb7b19c;
222
         198: out <= 29'he371281;
223
         199: out <= 29'hdb7b19c;
224
         200: out <= 29'he372501;
225
         201: out <= 29'hdb7b19c;
226
         202: out <= 29'he374a01;
227
         203: out <= 29'hdb7b19c;
228
         204: out <= 29'hdb70041;
229
         205: out <= 29'hb37b196;
230
         206: out <= 29'hb37b196;
231
         207: out <= 29'hdc68064;
232
         208: out <= 29'he44805b;
233
         209: out <= 29'h388040;
234
         210: out <= 29'hcc88059;
235
         211: out <= 29'hc368058;
236
         212: out <= 29'h2db180;
237
         213: out <= 29'hcadb199;
238
         214: out <= 29'hb2db198;
239
         215: out <= 29'hc3a005e;
240
         216: out <= 29'hdba0060;
241
         217: out <= 29'he3c0060;
242
         218: out <= 29'h10800059;
243
         219: out <= 29'h11000056;
244
         220: out <= 29'h11b20056;
245
         221: out <= 29'hebbb180;
246
         222: out <= 29'hf3db199;
247
         223: out <= 29'h1041b196;
248
         224: out <= 29'hc31b1a1;
249
         225: out <= 29'hdb7b1a2;
250
         226: out <= 29'he39b1a3;
251
         227: out <= 29'heba805e;
252
         228: out <= 29'hf3a8060;
253
         229: out <= 29'hf3c005c;
254
         230: out <= 29'hc30805d;
255
         231: out <= 29'hc30005c;
256
         232: out <= 29'hdb6805d;
257
         233: out <= 29'he3e0057;
258
         234: out <= 29'hebe005a;
259
         235: out <= 29'h102e005a;
260
         236: out <= 29'h10800059;
261
         237: out <= 29'h11000056;
262
         238: out <= 29'h11b20056;
263
         239: out <= 29'h3fb180;
264
         240: out <= 29'hbafb199;
265
         241: out <= 29'hb35b196;
266
         242: out <= 29'hcb9b1a1;
267
         243: out <= 29'hd3bb1a2;
268
         244: out <= 29'he41b1a3;
269
         245: out <= 29'h8057;
270
         246: out <= 29'hb008056;
271
         247: out <= 29'hb2c005c;
272
         248: out <= 29'hbb28040;
273
         249: out <= 29'hbae005c;
274
         250: out <= 29'h348040;
275
         251: out <= 29'hcbc0056;
276
         252: out <= 29'hd300057;
277
         253: out <= 29'he368040;
278
         254: out <= 29'hebdb19b;
279
         255: out <= 29'hfadb180;
280
         256: out <= 29'hdb1b19b;
281
         257: out <= 29'h2fb180;
282
         258: out <= 29'h1033b19c;
283
         259: out <= 29'hb2db198;
284
         260: out <= 29'hbbdb197;
285
         261: out <= 29'hc33b19a;
286
         262: out <= 29'hcb5b19c;
287
         263: out <= 29'hd2c0057;
288
         264: out <= 29'hc348058;
289
         265: out <= 29'hd360040;
290
         266: out <= 29'hd34005a;
291
         267: out <= 29'h805b;
292
         268: out <= 29'hdbe805d;
293
         269: out <= 29'hdb60060;
294
         270: out <= 29'he04005d;
295
         271: out <= 29'he38005f;
296
         272: out <= 29'he38805a;
297
         273: out <= 29'hb2e8056;
298
         274: out <= 29'hb360056;
299
         275: out <= 29'hbb00041;
300
         276: out <= 29'heb20040;
301
         277: out <= 29'hdba005b;
302
         278: out <= 29'hc30005a;
303
         279: out <= 29'hc300058;
304
         280: out <= 29'h320040;
305
         281: out <= 29'h40;
306
         282: out <= 29'hcb90041;
307
         283: out <= 29'hd2d0041;
308
         284: out <= 29'heaf0041;
309
         285: out <= 29'hf370041;
310
         286: out <= 29'hfb10041;
311
         287: out <= 29'h10010041;
312
         288: out <= 29'hcb2005d;
313
         289: out <= 29'hcb2005f;
314
         290: out <= 29'hd34005a;
315
         291: out <= 29'hd34805e;
316
         292: out <= 29'hd348060;
317
         293: out <= 29'heba805f;
318
         294: out <= 29'hf40805e;
319
         295: out <= 29'h10400060;
320
         296: out <= 29'hcb30041;
321
         297: out <= 29'hd350041;
322
         298: out <= 29'hebb0041;
323
         299: out <= 29'hf3d0041;
324
         300: out <= 29'hfbf0041;
325
         301: out <= 29'h10410041;
326
         302: out <= 29'hcb2005d;
327
         303: out <= 29'hcb2005f;
328
         304: out <= 29'hd34005a;
329
         305: out <= 29'hd34805e;
330
         306: out <= 29'hd348060;
331
         307: out <= 29'heba805f;
332
         308: out <= 29'hf40805e;
333
         309: out <= 29'h10400060;
334
         310: out <= 29'h10b80056;
335
         311: out <= 29'h112e005b;
336
         312: out <= 29'h11b08040;
337
         313: out <= 29'h1239b198;
338
         314: out <= 29'h12adb180;
339
         315: out <= 29'hc2fb198;
340
         316: out <= 29'h37b180;
341
         317: out <= 29'h1343b1a3;
342
         318: out <= 29'hb2db197;
343
         319: out <= 29'hbb9b19b;
344
         320: out <= 29'hdc3b1a2;
345
         321: out <= 29'he45b1a3;
346
         322: out <= 29'h10ac0057;
347
         323: out <= 29'hdc2805b;
348
         324: out <= 29'h10b00040;
349
         325: out <= 29'h10c20061;
350
         326: out <= 29'h8058;
351
         327: out <= 29'hc4a8064;
352
         328: out <= 29'hc300066;
353
         329: out <= 29'h11040064;
354
         330: out <= 29'h11440065;
355
         331: out <= 29'h11448061;
356
         332: out <= 29'hb2e8056;
357
         333: out <= 29'hb300056;
358
         334: out <= 29'hbb60041;
359
         335: out <= 29'h11b80040;
360
         336: out <= 29'hc460058;
361
         337: out <= 29'hdb60061;
362
         338: out <= 29'hdb6005b;
363
         339: out <= 29'h380040;
364
         340: out <= 29'h40;
365
         341: out <= 29'he32005f;
366
         342: out <= 29'h10b8005d;
367
         343: out <= 29'he38805d;
368
         344: out <= 29'h11c4005b;
369
         345: out <= 29'h12460057;
370
         346: out <= 29'h11c68057;
371
         347: out <= 29'hcb2805f;
372
         348: out <= 29'h12b2805e;
373
         349: out <= 29'hcb2005e;
374
         350: out <= 29'h1144805b;
375
         351: out <= 29'h13448058;
376
         352: out <= 29'h11440058;
377
         353: out <= 29'h13b40060;
378
         354: out <= 29'h144e005e;
379
         355: out <= 29'hf4e805e;
380
         356: out <= 29'h13ac0040;
381
         357: out <= 29'h14ce0058;
382
         358: out <= 29'hc4e8058;
383
         359: out <= 29'hd348060;
384
         360: out <= 29'h13b4005d;
385
         361: out <= 29'hd34805d;
386
         362: out <= 29'hb2c8040;
387
         363: out <= 29'heac0057;
388
         364: out <= 29'hb2c8057;
389
         365: out <= 29'hbc20068;
390
         366: out <= 29'h15480069;
391
         367: out <= 29'h15ca0067;
392
         368: out <= 29'h164c005d;
393
         369: out <= 29'h16b8005e;
394
         370: out <= 29'h17460058;
395
         371: out <= 29'h17b2005a;
396
         372: out <= 29'h18440056;
397
         373: out <= 29'h18be0060;
398
         374: out <= 29'h19360040;
399
         375: out <= 29'h10c3b1a4;
400
         376: out <= 29'hbafb1aa;
401
         377: out <= 29'h1251b1a9;
402
         378: out <= 29'h12cbb1a6;
403
         379: out <= 29'h1357b1ac;
404
         380: out <= 29'hecfb19d;
405
         381: out <= 29'he39b1a3;
406
         382: out <= 29'h11dbb1ae;
407
         383: out <= 29'hc3db198;
408
         384: out <= 29'hcb3b1a2;
409
         385: out <= 29'hf5fb1b0;
410
         386: out <= 29'hb35b196;
411
         387: out <= 29'hd3fb19b;
412
         388: out <= 29'hde3b1b2;
413
         389: out <= 29'h41b180;
414
         390: out <= 29'hfc20066;
415
         391: out <= 29'hfbe005a;
416
         392: out <= 29'h1048005e;
417
         393: out <= 29'h10400040;
418
         394: out <= 29'hd38005a;
419
         395: out <= 29'h300040;
420
         396: out <= 29'h40;
421
         397: out <= 29'hc46005b;
422
         398: out <= 29'he000064;
423
         399: out <= 29'h11348061;
424
         400: out <= 29'h8064;
425
         401: out <= 29'h5d;
426
         402: out <= 29'h56;
427
         403: out <= 29'hd340061;
428
         404: out <= 29'hd348065;
429
         405: out <= 29'hd348059;
430
         406: out <= 29'h4c0805f;
431
         407: out <= 29'h4928065;
432
         408: out <= 29'h4920056;
433
         409: out <= 29'h53e0060;
434
         410: out <= 29'h5148057;
435
         411: out <= 29'h514005d;
436
         412: out <= 29'h5140059;
437
         413: out <= 29'h514805b;
438
         414: out <= 29'h5b80062;
439
         415: out <= 29'h6388062;
440
         416: out <= 29'h6180058;
441
         417: out <= 29'h6188057;
442
         418: out <= 29'h680005a;
443
         419: out <= 29'h700805a;
444
         420: out <= 29'h71c0058;
445
         421: out <= 29'h71c0057;
446
         422: out <= 29'h71c8066;
447
         423: out <= 29'h71c805e;
448
         default: out <= 0;
449
      endcase
450
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.