OpenCores
URL https://opencores.org/ocsvn/tlc2/tlc2/trunk

Subversion Repositories tlc2

[/] [tlc2/] [trunk/] [modelsim.ini] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dimo
; Copyright 1991-2007 Mentor Graphics Corporation
2
;
3
; All Rights Reserved.
4
;
5
; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF
6
; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
7
;
8
 
9
[Library]
10
others = $MODEL_TECH/../modelsim.ini
11
;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers       // Source files only for this release
12
;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release
13
 
14
work = modelsim/work
15
[vcom]
16
; VHDL93 variable selects language version as the default.
17
; Default is VHDL-2002.
18
; Value of 0 or 1987 for VHDL-1987.
19
; Value of 1 or 1993 for VHDL-1993.
20
; Default or value of 2 or 2002 for VHDL-2002.
21
VHDL93 = 2002
22
 
23
; Show source line containing error. Default is off.
24
; Show_source = 1
25
 
26
; Turn off unbound-component warnings. Default is on.
27
; Show_Warning1 = 0
28
 
29
; Turn off process-without-a-wait-statement warnings. Default is on.
30
; Show_Warning2 = 0
31
 
32
; Turn off null-range warnings. Default is on.
33
; Show_Warning3 = 0
34
 
35
; Turn off no-space-in-time-literal warnings. Default is on.
36
; Show_Warning4 = 0
37
 
38
; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
39
; Show_Warning5 = 0
40
 
41
; Turn off optimization for IEEE std_logic_1164 package. Default is on.
42
; Optimize_1164 = 0
43
 
44
; Turn on resolving of ambiguous function overloading in favor of the
45
; "explicit" function declaration (not the one automatically created by
46
; the compiler for each type declaration). Default is off.
47
; The .ini file has Explicit enabled so that std_logic_signed/unsigned
48
; will match the behavior of synthesis tools.
49
Explicit = 1
50
 
51
; Turn off acceleration of the VITAL packages. Default is to accelerate.
52
; NoVital = 1
53
 
54
; Turn off VITAL compliance checking. Default is checking on.
55
; NoVitalCheck = 1
56
 
57
; Ignore VITAL compliance checking errors. Default is to not ignore.
58
; IgnoreVitalErrors = 1
59
 
60
; Turn off VITAL compliance checking warnings. Default is to show warnings.
61
; Show_VitalChecksWarnings = 0
62
 
63
; Turn off PSL assertion warning messages. Default is to show warnings.
64
; Show_PslChecksWarnings = 0
65
 
66
; Enable parsing of embedded PSL assertions. Default is enabled.
67
; EmbeddedPsl = 0
68
 
69
; Keep silent about case statement static warnings.
70
; Default is to give a warning.
71
; NoCaseStaticError = 1
72
 
73
; Keep silent about warnings caused by aggregates that are not locally static.
74
; Default is to give a warning.
75
; NoOthersStaticError = 1
76
 
77
; Treat as errors:
78
;   case statement static warnings
79
;   warnings caused by aggregates that are not locally static
80
; Overrides NoCaseStaticError, NoOthersStaticError settings.
81
; PedanticErrors = 1
82
 
83
; Turn off inclusion of debugging info within design units.
84
; Default is to include debugging info.
85
; NoDebug = 1
86
 
87
; Turn off "Loading..." messages. Default is messages on.
88
; Quiet = 1
89
 
90
; Turn on some limited synthesis rule compliance checking. Checks only:
91
;    -- signals used (read) by a process must be in the sensitivity list
92
; CheckSynthesis = 1
93
 
94
; Activate optimizations on expressions that do not involve signals,
95
; waits, or function/procedure/task invocations. Default is off.
96
; ScalarOpts = 1
97
 
98
; Turns on lint-style checking.
99
; Show_Lint = 1
100
 
101
; Require the user to specify a configuration for all bindings,
102
; and do not generate a compile time default binding for the
103
; component. This will result in an elaboration error of
104
; 'component not bound' if the user fails to do so. Avoids the rare
105
; issue of a false dependency upon the unused default binding.
106
; RequireConfigForAllDefaultBinding = 1
107
 
108
; Perform default binding at compile time.
109
; Default is to do default binding at load time.
110
; BindAtCompile=1;
111
 
112
; Inhibit range checking on subscripts of arrays. Range checking on
113
; scalars defined with subtypes is inhibited by default.
114
; NoIndexCheck = 1
115
 
116
; Inhibit range checks on all (implicit and explicit) assignments to
117
; scalar objects defined with subtypes.
118
; NoRangeCheck = 1
119
 
120
; Run the 0in tools from within the simulator.
121
; Default value set to 0. Please set it to 1 to invoke 0in.
122
; VcomZeroIn = 1
123
 
124
; Set the options to be passed to the 0in tools.
125
; Default value set to "". Please set it to appropriate options needed.
126
; VcomZeroInOptions = ""
127
 
128
; Turn on code coverage in VHDL design units. Default is off.
129
; Coverage = sbceft
130
 
131
; Turn off code coverage in VHDL subprograms. Default is on.
132
; CoverageNoSub = 0
133
 
134
; Automatically exclude VHDL case statement default branches.
135
; Default is to not exclude.
136
; CoverExcludeDefault = 1
137
 
138
; Turn on code coverage in VHDL generate blocks. Default is on.
139
CoverGenerate = 1
140
 
141
; Inform code coverage optimizations to respect VHDL 'H' and 'L'
142
; values on signals in conditions and expressions, and to not automatically
143
; convert them to '1' and '0'. Default is to not convert.
144
; CoverRespectHandL = 0
145
 
146
; Use this directory for compiler temporary files instead of "work/_temp"
147
; CompilerTempDir = /tmp
148
 
149
; Add VHDL-AMS declarations to package STANDARD
150
; Default is not to add
151
; AmsStandard = 1
152
[vlog]
153
 
154
; Turn off inclusion of debugging info within design units.
155
; Default is to include debugging info.
156
; NoDebug = 1
157
 
158
; Turn on `protect compiler directive processing.
159
; Default is to ignore `protect directives.
160
; Protect = 1
161
 
162
; Turn off "Loading..." messages. Default is messages on.
163
; Quiet = 1
164
 
165
; Turn on Verilog hazard checking (order-dependent accessing of global vars).
166
; Default is off.
167
; Hazard = 1
168
 
169
; Turn on converting regular Verilog identifiers to uppercase. Allows case
170
; insensitivity for module names. Default is no conversion.
171
; UpCase = 1
172
 
173
; Activate optimizations on expressions that do not involve signals,
174
; waits, or function/procedure/task invocations. Default is off.
175
; ScalarOpts = 1
176
 
177
; Turns on lint-style checking.
178
; Show_Lint = 1
179
 
180
; Show source line containing error. Default is off.
181
; Show_source = 1
182
 
183
; Turn on bad option warning. Default is off.
184
; Show_BadOptionWarning = 1
185
 
186
; Revert back to IEEE 1364-1995 syntax, default is 0 (off).
187
vlog95compat = 0
188
 
189
; Turn off PSL warning messages. Default is to show warnings.
190
; Show_PslChecksWarnings = 0
191
 
192
; Enable parsing of embedded PSL assertions. Default is enabled.
193
; EmbeddedPsl = 0
194
 
195
; Set the threshold for automatically identifying sparse Verilog memories.
196
; A memory with depth equal to or more than the sparse memory threshold gets
197
; marked as sparse automatically, unless specified otherwise in source code
198
; or by +nosparse commandline option of vlog or vopt.
199
; The default is 1M.  (i.e. memories with depth equal to or more than 1M are
200
; marked as sparse)
201
SparseMemThreshold = 1048576
202
 
203
; Set the maximum number of iterations permitted for a generate loop.
204
; Restricting this permits the implementation to recognize infinite
205
; generate loops.
206
; GenerateLoopIterationMax = 100000
207
 
208
; Set the maximum depth permitted for a recursive generate instantiation.
209
; Restricting this permits the implementation to recognize infinite
210
; recursions.
211
; GenerateRecursionDepthMax = 200
212
 
213
; Run the 0in tools from within the simulator.
214
; Default value set to 0. Please set it to 1 to invoke 0in.
215
; VlogZeroIn = 1
216
 
217
; Set the options to be passed to the 0in tools.
218
; Default value set to "". Please set it to appropriate options needed.
219
; VlogZeroInOptions = ""
220
 
221
; Run the 0in tools from within the simulator.
222
; Default value set to 0. Please set it to 1 to invoke 0in.
223
; VoptZeroIn = 1
224
 
225
; Set the options to be passed to the 0in tools.
226
; Default value set to "". Please set it to appropriate options needed.
227
; VoptZeroInOptions = ""
228
 
229
; Set the option to treat all files specified in a vlog invocation as a
230
; single compilation unit. The default value is set to 0 which will treat
231
; each file as a separate compilation unit as specified in the P1800 draft standard.
232
; MultiFileCompilationUnit = 1
233
 
234
; Turn on code coverage in Verilog design units. Default is off.
235
; Coverage = sbceft
236
 
237
; Automatically exclude Verilog case statement default branches.
238
; Default is to not exclude.
239
; CoverExcludeDefault = 1
240
 
241
; Turn on code coverage in VLOG generate blocks. Default is on.
242
CoverGenerate = 1
243
 
244
; Turn on code coverage in VLOG `celldefine modules and modules included
245
; using vlog -v and -y. Default is on.
246
CoverCells = 0
247
 
248
; Control compiler and VOPT optimizations that are allowed when
249
; code coverage is on. This is a number from 1 to 4, with the following
250
; meanings (the default is 3):
251
;    1 -- Turn off all optimizations that affect coverage reports.
252
;    2 -- Allow optimizations that allow large performance improvements
253
;         by invoking sequential processes only when the data changes.
254
;         Allow VHDL FF recognition. This may make major reductions in
255
;         coverage counts.
256
;    3 -- In addition, allow optimizations that may change expressions or
257
;         remove some statements. Allow constant propagation.
258
;    4 -- In addition, allow optimizations that may remove major regions of
259
;         code by changing assignments to built-ins or removing unused
260
;         signals. Allow VHDL subprogram inlining. Change Verilog gates to
261
;         continuous assignments.
262
CoverOpt = 3
263
 
264
; Specify the override for the default value of "cross_num_print_missing"
265
; option for the Cross in Covergroups. If not specified then LRM default
266
; value of 0 (zero) is used. This is a compile time option.
267
; SVCrossNumPrintMissingDefault = 0
268
 
269
; Setting following to 1 would cause creation of variables which
270
; would represent the value of Coverpoint expressions. This is used
271
; in conjunction with "SVCoverpointExprVariablePrefix" option
272
; in the modelsim.ini
273
; EnableSVCoverpointExprVariable = 0
274
 
275
; Specify the override for the prefix used in forming the variable names
276
; which represent the Coverpoint expressions. This is used in conjunction with
277
; "EnableSVCoverpointExprVariable" option of the modelsim.ini
278
; The default prefix is "expr".
279
; The variable name is
280
;    variable name => _
281
; SVCoverpointExprVariablePrefix = expr
282
 
283
; Override for the default value of the SystemVerilog covergroup,
284
; coverpoint, and cross option.goal (defined to be 100 in the LRM).
285
; NOTE: It does not override specific assignments in SystemVerilog
286
; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal"
287
; can override this value.
288
; SVCovergroupGoalDefault = 100
289
 
290
; Override for the default value of the SystemVerilog covergroup,
291
; coverpoint, and cross type_option.goal (defined to be 100 in the LRM)
292
; NOTE: It does not override specific assignments in SystemVerilog
293
; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal"
294
; can override this value.
295
; SVCovergroupTypeGoalDefault = 100
296
 
297
; Specify the override for the default value of "strobe" option for the
298
; Covergroup Type. This is a compile time option which forces "strobe" to
299
; a user specified default value and supersedes SystemVerilog specified
300
; default value of '0'(zero). NOTE: This can be overriden by a runtime
301
; modelsim.ini variable "SVCovergroupStrobeDefault".
302
; SVCovergroupStrobeDefault = 0
303
 
304
; Specify the override for the default value of "per_instance" option for the
305
; Covergroup variables. This is a compile time option which forces "per_instance"
306
; to a user specified default value and supersedes SystemVerilog specified
307
; default value of '0'(zero). NOTE: This can be overriden by a runtime
308
; modelsim.ini variable "SVCovergroupPerInstanceDefault".
309
; SVCovergroupPerInstanceDefault = 0
310
 
311
;
312
; A space separated list of resource libraries that contain precompiled
313
; packages.  The behavior is identical to using the "-L" switch.
314
;
315
; LibrarySearchPath =  [ ...]
316
LibrarySearchPath = mtiAvm
317
 
318
; The behavior is identical to the "-mixedansiports" switch.  Default is off.
319
; MixedAnsiPorts = 1
320
 
321
; Enable SystemVerilog 3.1a $typeof() function. Default is off.
322
; EnableTypeOf = 1
323
 
324
; Only allow lower case pragmas. Default is disabled.
325
; AcceptLowerCasePragmaOnly = 1
326
 
327
; Set the maximum depth permitted for a recursive include file nesting.
328
; IncludeRecursionDepthMax = 5
329
 
330
[sccom]
331
; Enable use of SCV include files and library.  Default is off.
332
; UseScv = 1
333
 
334
; Add C++ compiler options to the sccom command line by using this variable.
335
; CppOptions = -g
336
 
337
; Use custom C++ compiler located at this path rather than the default path.
338
; The path should point directly at a compiler executable.
339
; CppPath = /usr/bin/g++
340
 
341
; Enable verbose messages from sccom.  Default is off.
342
; SccomVerbose = 1
343
 
344
; sccom logfile.  Default is no logfile.
345
; SccomLogfile = sccom.log
346
 
347
; Enable use of SC_MS include files and library.  Default is off.
348
; UseScMs = 1
349
 
350
[vsim]
351
 
352
; vopt flow
353
; Set to turn on automatic optimization of a design.
354
; Default is on
355
VoptFlow = 1
356
 
357
; vopt automatic SDF
358
; If automatic design optimization is on, enables automatic compilation
359
; of SDF files.
360
; Default is on, uncomment to turn off.
361
; VoptAutoSDFCompile = 0
362
 
363
; Simulator resolution
364
; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
365
Resolution = ns
366
 
367
; Enables certain code coverage exclusions automatically. Set AutoExclusions = none to disable.
368
AutoExclusions = fsm
369
 
370
; User time unit for run commands
371
; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
372
; unit specified for Resolution. For example, if Resolution is 100ps,
373
; then UserTimeUnit defaults to ps.
374
; Should generally be set to default.
375
UserTimeUnit = default
376
 
377
; Default run length
378
RunLength = 100
379
 
380
; Maximum iterations that can be run without advancing simulation time
381
IterationLimit = 5000
382
 
383
; Control PSL and Verilog Assume directives during simulation
384
; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts
385
; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts
386
; SimulateAssumeDirectives = 1
387
 
388
; Control the simulation of PSL and SVA
389
; These switches can be overridden by the vsim command line switches:
390
;    -psl, -nopsl, -sva, -nosva.
391
; Set SimulatePSL = 0 to disable PSL simulation
392
; Set SimulatePSL = 1 to enable PSL simulation (default)
393
; SimulatePSL = 1
394
; Set SimulateSVA = 0 to disable SVA simulation
395
; Set SimulateSVA = 1 to enable concurrent SVA simulation (default)
396
; SimulateSVA = 1
397
 
398
; Directives to license manager can be set either as single value or as
399
; space separated multi-values:
400
; vhdl          Immediately reserve a VHDL license
401
; vlog          Immediately reserve a Verilog license
402
; plus          Immediately reserve a VHDL and Verilog license
403
; nomgc         Do not look for Mentor Graphics Licenses
404
; nomti         Do not look for Model Technology Licenses
405
; noqueue       Do not wait in the license queue when a license is not available
406
; viewsim       Try for viewer license but accept simulator license(s) instead
407
;               of queuing for viewer license (PE ONLY)
408
; noviewer      Disable checkout of msimviewer and vsim-viewer license
409
;               features (PE ONLY)
410
; noslvhdl      Disable checkout of qhsimvh and vsim license features
411
; noslvlog      Disable checkout of qhsimvl and vsimvlog license features
412
; nomix         Disable checkout of msimhdlmix and hdlmix license features
413
; nolnl         Disable checkout of msimhdlsim and hdlsim license features
414
; mixedonly     Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog license
415
;               features
416
; lnlonly       Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog,msimhdlmix,
417
;               hdlmix license features
418
; Single value:
419
; License = plus
420
; Multi-value:
421
; License = noqueue plus
422
 
423
; Stop the simulator after a VHDL/Verilog immediate assertion message
424
; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
425
BreakOnAssertion = 3
426
 
427
; VHDL assertion Message Format
428
; %S - Severity Level
429
; %R - Report Message
430
; %T - Time of assertion
431
; %D - Delta
432
; %I - Instance or Region pathname (if available)
433
; %i - Instance pathname with process
434
; %O - Process name
435
; %K - Kind of object path is to return: Instance, Signal, Process or Unknown
436
; %P - Instance or Region path without leaf process
437
; %F - File
438
; %L - Line number of assertion or, if assertion is in a subprogram, line
439
;      from which the call is made
440
; %% - Print '%' character
441
; If specific format for assertion level is defined, use its format.
442
; If specific format is not defined for assertion level:
443
; - and if failure occurs during elaboration, use MessageFormatBreakLine;
444
; - and if assertion triggers a breakpoint (controlled by BreakOnAssertion
445
;   level), use MessageFormatBreak;
446
; - otherwise, use MessageFormat.
447
; MessageFormatBreakLine = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F Line: %L\n"
448
; MessageFormatBreak     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
449
; MessageFormat          = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
450
; MessageFormatNote      = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
451
; MessageFormatWarning   = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
452
; MessageFormatError     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
453
; MessageFormatFail      = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
454
; MessageFormatFatal     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
455
 
456
; Error File - alternate file for storing error messages
457
; ErrorFile = error.log
458
 
459
 
460
; Simulation Breakpoint messages
461
; This flag controls the display of function names when reporting the location
462
; where the simulator stops do to a breakpoint or fatal error.
463
; Example w/function name:  # Break in Process ctr at counter.vhd line 44
464
; Example wo/function name: # Break at counter.vhd line 44
465
ShowFunctions = 1
466
 
467
 
468
; Default radix for all windows and commands.
469
; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned
470
DefaultRadix = symbolic
471
 
472
; VSIM Startup command
473
; Startup = do startup.do
474
 
475
; File for saving command transcript
476
TranscriptFile = transcript
477
 
478
; File for saving command history
479
; CommandHistory = cmdhist.log
480
 
481
; Specify whether paths in simulator commands should be described
482
; in VHDL or Verilog format.
483
; For VHDL, PathSeparator = /
484
; For Verilog, PathSeparator = .
485
; Must not be the same character as DatasetSeparator.
486
PathSeparator = /
487
 
488
; Specify the dataset separator for fully rooted contexts.
489
; The default is ':'. For example: sim:/top
490
; Must not be the same character as PathSeparator.
491
DatasetSeparator = :
492
 
493
; Specify a unique path separator for the Signal Spy set of functions.
494
; The default will be to use the PathSeparator variable.
495
; Must not be the same character as DatasetSeparator.
496
; SignalSpyPathSeparator = /
497
 
498
; Used to control parsing of HDL identifiers input to the tool.
499
; This includes CLI commands, vsim/vopt/vlog/vcom options,
500
; string arguments to FLI/VPI/DPI calls, etc.
501
; If set to 1, accept either Verilog escaped Id syntax or
502
; VHDL extended id syntax, regardless of source language.
503
; If set to 0, the syntax of the source language must be used.
504
; Each identifier in a hierarchical name may need different syntax,
505
; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or
506
;       "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom"
507
; GenerousIdentifierParsing = 1
508
 
509
; Disable VHDL assertion messages
510
; IgnoreNote = 1
511
; IgnoreWarning = 1
512
; IgnoreError = 1
513
; IgnoreFailure = 1
514
 
515
; Disable System Verilog assertion messages
516
; Info and Warning are disabled by default
517
; IgnoreSVAInfo = 0
518
; IgnoreSVAWarning = 0
519
; IgnoreSVAError = 1
520
; IgnoreSVAFatal = 1
521
 
522
; Default force kind. May be freeze, drive, deposit, or default
523
; or in other terms, fixed, wired, or charged.
524
; A value of "default" will use the signal kind to determine the
525
; force kind, drive for resolved signals, freeze for unresolved signals
526
; DefaultForceKind = freeze
527
 
528
; If zero, open files when elaborated; otherwise, open files on
529
; first read or write.  Default is 0.
530
; DelayFileOpen = 1
531
 
532
; Control VHDL files opened for write.
533
;   0 = Buffered, 1 = Unbuffered
534
UnbufferedOutput = 0
535
 
536
; Control the number of VHDL files open concurrently.
537
; This number should always be less than the current ulimit
538
; setting for max file descriptors.
539
;   0 = unlimited
540
ConcurrentFileLimit = 40
541
 
542
; Control the number of hierarchical regions displayed as
543
; part of a signal name shown in the Wave window.
544
; A value of zero tells VSIM to display the full name.
545
; The default is 0.
546
; WaveSignalNameWidth = 0
547
 
548
; Turn off warnings when changing VHDL constants and generics
549
; Default is 1 to generate warning messages
550
; WarnConstantChange = 0
551
 
552
; Turn off warnings from the std_logic_arith, std_logic_unsigned
553
; and std_logic_signed packages.
554
; StdArithNoWarnings = 1
555
 
556
; Turn off warnings from the IEEE numeric_std and numeric_bit packages.
557
; NumericStdNoWarnings = 1
558
 
559
; Control the format of the (VHDL) FOR generate statement label
560
; for each iteration.  Do not quote it.
561
; The format string here must contain the conversion codes %s and %d,
562
; in that order, and no other conversion codes.  The %s represents
563
; the generate_label; the %d represents the generate parameter value
564
; at a particular generate iteration (this is the position number if
565
; the generate parameter is of an enumeration type).  Embedded whitespace
566
; is allowed (but discouraged); leading and trailing whitespace is ignored.
567
; Application of the format must result in a unique scope name over all
568
; such names in the design so that name lookup can function properly.
569
; GenerateFormat = %s__%d
570
 
571
; Specify whether checkpoint files should be compressed.
572
; The default is 1 (compressed).
573
; CheckpointCompressMode = 0
574
 
575
; Specify whether to enable SystemVerilog DPI out-of-the-blue call.
576
; Out-of-the-blue call refers to a SystemVerilog export function call
577
; directly from a C function that don't have the proper context setup
578
; as done in DPI-C import C functions. When this is enabled, one can
579
; call a DPI export function (but not task) from any C code.
580
; The default is 0 (disabled).
581
; DpiOutOfTheBlue = 1
582
 
583
; List of dynamically loaded objects for Verilog PLI applications
584
; Veriuser = veriuser.sl
585
 
586
 
587
; Should the tool conform to the 2001 or 2005 VPI object model
588
; Note that System Verilog objects are only available in the 2005 object model
589
; The tool default is the latest available LRM behavior
590
; Options here are: 2001 2005 latest
591
; PliCompatDefault = 2005
592
 
593
; Specify default options for the restart command. Options can be one
594
; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions
595
; DefaultRestartOptions = -force
596
 
597
; HP-UX 10.20 ONLY - Enable memory locking to speed up large designs
598
; (> 500 megabyte memory footprint). Default is disabled.
599
; Specify number of megabytes to lock.
600
; LockedMemory = 1000
601
 
602
; HP-UX 11.00 ONLY - Use /usr/lib/libCsup_v2.sl for shared object loading.
603
; This is necessary when C++ files have been compiled with aCC's -AA option.
604
; The default behavior is to use /usr/lib/libCsup.sl.
605
; UseCsupV2 = 1
606
 
607
; Turn on (1) or off (0) WLF file compression.
608
; The default is 1 (compress WLF file).
609
; WLFCompress = 0
610
 
611
; Specify whether to save all design hierarchy (1) in the WLF file
612
; or only regions containing logged signals (0).
613
; The default is 0 (save only regions with logged signals).
614
; WLFSaveAllRegions = 1
615
 
616
; WLF file time limit.  Limit WLF file by time, as closely as possible,
617
; to the specified amount of simulation time.  When the limit is exceeded
618
; the earliest times get truncated from the file.
619
; If both time and size limits are specified the most restrictive is used.
620
; UserTimeUnits are used if time units are not specified.
621
; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}
622
; WLFTimeLimit = 0
623
 
624
; WLF file size limit.  Limit WLF file size, as closely as possible,
625
; to the specified number of megabytes.  If both time and size limits
626
; are specified then the most restrictive is used.
627
; The default is 0 (no limit).
628
; WLFSizeLimit = 1000
629
 
630
; Specify whether or not a WLF file should be deleted when the
631
; simulation ends.  A value of 1 will cause the WLF file to be deleted.
632
; The default is 0 (do not delete WLF file when simulation ends).
633
; WLFDeleteOnQuit = 1
634
 
635
; Specify whether or not a WLF file should be optimized during
636
; simulation.  If set to 0, the WLF file will not be optimized.
637
; The default is 1, optimize the WLF file.
638
; WLFOptimize = 0
639
 
640
; Specify the name of the WLF file.
641
; The default is vsim.wlf
642
; WLFFilename = vsim.wlf
643
 
644
; Specify the WLF reader cache size limit for each open WLF file.
645
; The size is giving in megabytes.  A value of 0 turns off the
646
; WLF cache.
647
; WLFSimCacheSize allows a different cache size to be set for
648
; simulation WLF file independent of post-simulation WLF file
649
; viewing.  If WLFSimCacheSize is not set it defaults to the
650
; WLFCacheSize setting.
651
; The default WLFCacheSize setting is enabled to 256M per open WLF file.
652
; WLFCacheSize = 2000
653
; WLFSimCacheSize = 500
654
 
655
; Specify the WLF file event collapse mode.
656
; 0 = Preserve all events and event order. (same as -wlfnocollapse)
657
; 1 = Only record values of logged objects at the end of a simulator iteration.
658
;     (same as -wlfcollapsedelta)
659
; 2 = Only record values of logged objects at the end of a simulator time step.
660
;     (same as -wlfcollapsetime)
661
; The default is 1.
662
; WLFCollapseMode = 0
663
 
664
; Specify whether WLF file logging can use threads on multi-processor machines
665
; if 0, no threads will be used, if 1, threads will be used if the system has
666
; more than one processor
667
; WLFUseThreads = 1
668
 
669
; Turn on/off undebuggable SystemC type warnings. Default is on.
670
; ShowUndebuggableScTypeWarning = 0
671
 
672
; Turn on/off unassociated SystemC name warnings. Default is off.
673
; ShowUnassociatedScNameWarning = 1
674
 
675
; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off.
676
; ScShowIeeeDeprecationWarnings = 1
677
 
678
; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off.
679
; ScEnableScSignalWriteCheck = 1
680
 
681
; Set SystemC default time unit.
682
; Set to fs, ps, ns, us, ms, or sec with optional
683
; prefix of 1, 10, or 100.  The default is 1 ns.
684
; The ScTimeUnit value is honored if it is coarser than Resolution.
685
; If ScTimeUnit is finer than Resolution, it is set to the value
686
; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns,
687
; then the default time unit will be 1 ns.  However if Resolution
688
; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns.
689
ScTimeUnit = ns
690
 
691
; Set SystemC sc_main stack size. The stack size is set as an integer
692
; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or
693
; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends
694
; on the amount of data on the sc_main() stack and the memory required
695
; to succesfully execute the longest function call chain of sc_main().
696
ScMainStackSize = 10 Mb
697
 
698
; Turn on/off execution of remainder of sc_main upon quitting the current
699
; simulation session. If the cumulative length of sc_main() in terms of
700
; simulation time units is less than the length of the current simulation
701
; run upon quit or restart, sc_main() will be in the middle of execution.
702
; This switch gives the option to execute the remainder of sc_main upon
703
; quitting simulation. The drawback of not running sc_main till the end
704
; is memory leaks for objects created by sc_main. If on, the remainder of
705
; sc_main will be executed ignoring all delays. This may cause the simulator
706
; to crash if the code in sc_main is dependent on some simulation state.
707
; Default is on.
708
ScMainFinishOnQuit = 1
709
 
710
; Set the SCV relationship name that will be used to identify phase
711
; relations.  If the name given to a transactor relation matches this
712
; name, the transactions involved will be treated as phase transactions
713
ScvPhaseRelationName = mti_phase
714
 
715
; Customize the vsim kernel shutdown behavior at the end of the simulation.
716
; Some common causes of the end of simulation are $finish (implicit or explicit),
717
; sc_stop(), tf_dofinish(), and assertion failures.
718
; This should be set to "ask", "exit", or "stop". The default is "ask".
719
; "ask"  -- In batch mode, the vsim kernel will abruptly exit.
720
;           In GUI mode, a dialog box will pop up and ask for user confirmation
721
;           whether or not to quit the simulation.
722
; "stop" -- Cause the simulation to stay loaded in memory. This can make some
723
;           post-simulation tasks easier.
724
; "exit" -- The simulation will abruptly exit without asking for any confirmation.
725
; Note: these ini variables can be overriden by the vsim command
726
;       line switch "-onfinish ".
727
OnFinish = ask
728
 
729
; Print "simstats" result at the end of simulation before shutdown.
730
; If this is enabled, the simstats result will be printed out before shutdown.
731
; The default is off.
732
; PrintSimStats = 1
733
 
734
; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages
735
; AssertFile = assert.log
736
 
737
; Run simulator in assertion debug mode. Default is off.
738
; AssertionDebug = 1
739
 
740
; Turn on/off PSL/SVA concurrent assertion pass enable.
741
; For SVA, Default is on when the assertion has a pass action block or vsim switch -assertdebug is used and the visibility flag "+acc=a" is turned on in vopt.
742
; For PSL, Default is on only when vsim switch "-assertdebug" is used and the visibility flag "+acc=a" is turned on in vopt.
743
; AssertionPassEnable = 0
744
 
745
; Turn on/off PSL/SVA concurrent assertion fail enable. Default is on.
746
; AssertionFailEnable = 0
747
 
748
; Set PSL/SVA concurrent assertion pass limit. Default is -1.
749
; Any positive integer, -1 for infinity.
750
; AssertionPassLimit = 1
751
 
752
; Set PSL/SVA concurrent assertion fail limit. Default is -1.
753
; Any positive integer, -1 for infinity.
754
; AssertionFailLimit = 1
755
 
756
; Turn on/off PSL concurrent assertion pass log. Default is off.
757
; The flag does not affect SVA
758
; AssertionPassLog = 1
759
 
760
; Turn on/off PSL concurrent assertion fail log. Default is on.
761
; The flag does not affect SVA
762
; AssertionFailLog = 0
763
 
764
; Set action type for PSL/SVA concurrent assertion fail action. Default is continue.
765
; 0 = Continue  1 = Break  2 = Exit
766
; AssertionFailAction = 1
767
 
768
; Enable the active thread monitor in the waveform display when assertion debug is enabled.
769
; AssertionActiveThreadMonitor = 1
770
 
771
; Control how many waveform rows will be used for displaying the active threads.  Default is 5.
772
; AssertionActiveThreadMonitorLimit = 5
773
 
774
; Control how many thread start times will be preserved for ATV viewing for a given assertion
775
; instance.  Default is -1 (ALL).
776
; ATVStartTimeKeepCount = -1
777
 
778
; Turn on/off code coverage
779
; CodeCoverage = 0
780
 
781
; Count all code coverage condition and expression truth table rows that match.
782
; CoverCountAll = 1
783
 
784
; Turn off automatic inclusion of VHDL integers in toggle coverage. Default
785
; is to include them.
786
; ToggleNoIntegers = 1
787
 
788
; Set the maximum number of values that are collected for toggle coverage of
789
; VHDL integers. Default is 100;
790
; ToggleMaxIntValues = 100
791
 
792
; Turn on automatic inclusion of Verilog integers in toggle coverage, except
793
; for enumeration types. Default is to not include them.
794
; ToggleVlogIntegers = 1
795
 
796
; Limit the widths of registers automatically tracked for toggle coverage. Default is 128.
797
; For unlimited width, set to 0.
798
; ToggleWidthLimit = 128
799
 
800
; Limit the counts that are tracked for toggle coverage. When all edges for a bit have
801
; reached this count, further activity on the bit is ignored. Default is 1.
802
; For unlimited counts, set to 0.
803
; ToggleCountLimit = 1
804
 
805
; Turn on/off all PSL/SVA cover directive enables.  Default is on.
806
; CoverEnable = 0
807
 
808
; Turn on/off PSL/SVA cover log.  Default is off.
809
; CoverLog = 1
810
 
811
; Set "at_least" value for all PSL/SVA cover directives.  Default is 1.
812
; CoverAtLeast = 2
813
 
814
; Set "limit" value for all PSL/SVA cover directives.  Default is -1.
815
; Any positive integer, -1 for infinity.
816
; CoverLimit = 1
817
 
818
; Specify the coverage database filename.  Default is "" (i.e. database is NOT automatically saved on close).
819
; UCDBFilename = vsim.ucdb
820
 
821
; Specify the maximum limit for the number of Cross (bin) products reported
822
; in XML and UCDB report against a Cross. A warning is issued if the limit
823
; is crossed.
824
; MaxReportRhsSVCrossProducts = 1000
825
 
826
; Specify the override for the "auto_bin_max" option for the Covergroups.
827
; If not specified then value from Covergroup "option" is used.
828
; SVCoverpointAutoBinMax = 64
829
 
830
; Specify the override for the value of "cross_num_print_missing"
831
; option for the Cross in Covergroups. If not specified then value
832
; specified in the "option.cross_num_print_missing" is used. This
833
; is a runtime option. NOTE: This overrides any "cross_num_print_missing"
834
; value specified by user in source file and any SVCrossNumPrintMissingDefault
835
; specified in modelsim.ini.
836
; SVCrossNumPrintMissing = 0
837
 
838
; Specify whether to use the value of "cross_num_print_missing"
839
; option in report and GUI for the Cross in Covergroups. If not specified then
840
; cross_num_print_missing is ignored for creating reports and displaying
841
; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing".
842
; UseSVCrossNumPrintMissing = 0
843
 
844
; Specify the override for the value of "strobe" option for the
845
; Covergroup Type. If not specified then value in "type_option.strobe"
846
; will be used. This is runtime option which forces "strobe" to
847
; user specified value and supersedes user specified values in the
848
; SystemVerilog Code. NOTE: This also overrides the compile time
849
; default value override specified using "SVCovergroupStrobeDefault"
850
; SVCovergroupStrobe = 0
851
 
852
; Override for explicit assignments in source code to "option.goal" of
853
; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
854
; default value of "option.goal" (defined to be 100 in the SystemVerilog
855
; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault".
856
; SVCovergroupGoal = 100
857
 
858
; Override for explicit assignments in source code to "type_option.goal" of
859
; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
860
; default value of "type_option.goal" (defined to be 100 in the SystemVerilog
861
; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault".
862
; SVCovergroupTypeGoal = 100
863
 
864
; Enable or disable generation of more detailed information about the sampling of covergroup,
865
; cross, and coverpoints. It provides the details of the number of times the covergroup
866
; instance and type were sampled, as well as details about why covergroup, cross and
867
; coverpoint were not covered. A non-zero value is to enable this feature. 0 is to
868
; disable this feature. Default is 0;
869
; SVCovergroupSampleInfo = 0
870
 
871
; Specify the maximum number of Coverpoint bins in whole design for
872
; all Covergroups.
873
; MaxSVCoverpointBinsDesign = 2147483648
874
 
875
; Specify maximum number of Coverpoint bins in any instance of a Covergroup
876
; MaxSVCoverpointBinsInst = 2147483648
877
 
878
; Specify the maximum number of Cross bins in whole design for
879
; all Covergroups.
880
; MaxSVCrossBinsDesign = 2147483648
881
 
882
; Specify maximum number of Cross bins in any instance of a Covergroup
883
; MaxSVCrossBinsInst = 2147483648
884
 
885
; Set weight for all PSL/SVA cover directives.  Default is 1.
886
; CoverWeight = 2
887
 
888
; Check vsim plusargs.  Default is 0 (off).
889
; 0 = Don't check plusargs
890
; 1 = Warning on unrecognized plusarg
891
; 2 = Error and exit on unrecognized plusarg
892
; CheckPlusargs = 1
893
 
894
; Load the specified shared objects with the RTLD_GLOBAL flag.
895
; This gives global visibility to all symbols in the shared objects,
896
; meaning that subsequently loaded shared objects can bind to symbols
897
; in the global shared objects.  The list of shared objects should
898
; be whitespace delimited.  This option is not supported on the
899
; Windows or AIX platforms.
900
; GlobalSharedObjectList = example1.so example2.so example3.so
901
 
902
; Run the 0in tools from within the simulator.
903
; Default value set to 0. Please set it to 1 to invoke 0in.
904
; VsimZeroIn = 1
905
 
906
; Set the options to be passed to the 0in tools.
907
; Default value set to "". Please set it to appropriate options needed.
908
; VsimZeroInOptions = ""
909
 
910
; Initial seed for the Random Number Generator (RNG) of the root thread (SystemVerilog).
911
; Sv_Seed = 0
912
 
913
; Maximum size of dynamic arrays that are resized during randomize().
914
; The default is 1000. A value of 0 indicates no limit.
915
; SolveArrayResizeMax = 1000
916
 
917
; Error message severity when randomize() failure is detected (SystemVerilog).
918
; The default is 0 (no error).
919
; 0 = No error  1 = Warning  2 = Error  3 = Failure  4 = Fatal
920
; SolveFailSeverity = 0
921
 
922
; Enable/disable debug information for randomize() failures (SystemVerilog).
923
; The default is 0 (disabled). Set to 1 to enable.
924
; SolveFailDebug = 0
925
 
926
; When SolveFailDebug is enabled, this value specifies the algorithm used to
927
; discover conflicts between constraints for randomize() failures.
928
; The default is "many".
929
;
930
; Valid schemes are:
931
;    "many" = best for determining conflicts due to many related constraints
932
;    "few"  = best for determining conflicts due to few related constraints
933
;
934
; SolveFailDebugScheme = many
935
 
936
; When SolveFailDebug is enabled and SolveFailDebugScheme is "few", this value
937
; specifies the maximum number of constraint subsets that will be tested for
938
; conflicts.
939
; The default is 0 (no limit).
940
; SolveFailDebugLimit = 0
941
 
942
; When SolveFailDebug is enabled and SolveFailDebugScheme is "few", this value
943
; specifies the maximum size of constraint subsets that will be tested for
944
; conflicts.
945
; The default value is 0 (no limit).
946
; SolveFailDebugMaxSet = 0
947
 
948
; Maximum size of the solution graph that may be generated during randomize().
949
; This value can be used to force randomize() to abort if the complexity of
950
; the constraint scenario (both in memory and time spent during evaluation)
951
; exceeds the specified limit. This value is specified in 1000s of nodes.
952
; The default is 10000. A value of 0 indicates no limit.
953
; SolveGraphMaxSize = 10000
954
 
955
; Use SolveFlags to specify options that will guide the behavior of the
956
; constraint solver. These options may improve the performance of the
957
; constraint solver for some testcases, and decrease the performance of
958
; the constraint solver for others.
959
; The default value is "" (no options).
960
;
961
; Valid flags are:
962
;    i = disable bit interleaving for >, >=, <, <= constraints
963
;    n = disable bit interleaving for all constraints
964
;    r = reverse bit interleaving
965
;
966
; SolveFlags =
967
 
968
; Specify random sequence compatiblity with a prior letter release. This
969
; option is used to get the same random sequences during simulation as
970
; as a prior letter release. Only prior letter releases (of the current
971
; number release) are allowed.
972
; Note: To achieve the same random sequences, solver optimizations and/or
973
; bug fixes introduced since the specified release may be disabled -
974
; yielding the performance / behavior of the prior release.
975
; Default value set to "" (random compatibility not required).
976
; SolveRev =
977
 
978
; Environment variable expansion of command line arguments has been depricated
979
; in favor shell level expansion.  Universal environment variable expansion
980
; inside -f files is support and continued support for MGC Location Maps provide
981
; alternative methods for handling flexible pathnames.
982
; The following line may be uncommented and the value set to 1 to re-enable this
983
; deprecated behavior.  The default value is 0.
984
; DeprecatedEnvironmentVariableExpansion = 0
985
 
986
; Turn on/off collapsing of bus ports in VCD dumpports output
987
DumpportsCollapse = 1
988
 
989
[lmc]
990
; The simulator's interface to Logic Modeling's SmartModel SWIFT software
991
libsm = $MODEL_TECH/libsm.sl
992
; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT)
993
; libsm = $MODEL_TECH/libsm.dll
994
;  Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700)
995
; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl
996
;  Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000)
997
; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o
998
;  Logic Modeling's SmartModel SWIFT software (Sun4 Solaris)
999
; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so
1000
;  Logic Modeling's SmartModel SWIFT software (Windows NT)
1001
; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll
1002
;  Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux)
1003
; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so
1004
;  Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux)
1005
; libswift = $LMC_HOME/lib/linux.lib/libswift.so
1006
 
1007
; The simulator's interface to Logic Modeling's hardware modeler SFI software
1008
libhm = $MODEL_TECH/libhm.sl
1009
; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT)
1010
; libhm = $MODEL_TECH/libhm.dll
1011
;  Logic Modeling's hardware modeler SFI software (HP 9000 Series 700)
1012
; libsfi = /lib/hp700/libsfi.sl
1013
;  Logic Modeling's hardware modeler SFI software (IBM RISC System/6000)
1014
; libsfi = /lib/rs6000/libsfi.a
1015
;  Logic Modeling's hardware modeler SFI software (Sun4 Solaris)
1016
; libsfi = /lib/sun4.solaris/libsfi.so
1017
;  Logic Modeling's hardware modeler SFI software (Windows NT)
1018
; libsfi = /lib/pcnt/lm_sfi.dll
1019
;  Logic Modeling's hardware modeler SFI software (Linux)
1020
; libsfi = /lib/linux/libsfi.so
1021
 
1022
[msg_system]
1023
; Change a message severity or suppress a message.
1024
; The format is:  = [,...]
1025
; Examples:
1026
;   note = 3009
1027
;   warning = 3033
1028
;   error = 3010,3016
1029
;   fatal = 3016,3033
1030
;   suppress = 3009,3016,3043
1031
; The command verror  can be used to get the complete
1032
; description of a message.
1033
 
1034
; Control transcripting of elaboration/runtime messages.
1035
; The default is to have messages appear in the transcript and
1036
; recorded in the wlf file (messages that are recorded in the
1037
; wlf file can be viewed in the MsgViewer).  The other settings
1038
; are to send messages only to the transcript or only to the
1039
; wlf file.  The valid values are
1040
;    both  {default}
1041
;    tran  {transcript only}
1042
;    wlf   {wlf file only}
1043
; msgmode = both
1044
 
1045
; Control transcripting of Verilog display system task messages.
1046
; These system tasks include $display[bho], $strobe[bho],
1047
; Smonitor{bho], and $write[bho].  They also include the analogous
1048
; file I/O tasks that write to STDOUT (i.e. $fwrite or $fdisplay).
1049
; The default is to have messages appear only in the transcript.
1050
; The other settings are to send messages to the wlf file only
1051
; (messages that are recorded in the wlf file can be viewed in the
1052
; MsgViewer) or to both the transcript and the wlf file.  The valid
1053
; values are
1054
;    tran  {transcript only (default)}
1055
;    wlf   {wlf file only}
1056
;    both  {transcript and wlf file}
1057
; displaymsgmode = tran
1058
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.