OpenCores
URL https://opencores.org/ocsvn/trigonometric_functions_in_double_fpu/trigonometric_functions_in_double_fpu/trunk

Subversion Repositories trigonometric_functions_in_double_fpu

[/] [trigonometric_functions_in_double_fpu/] [trunk/] [verilog/] [secant.v] - Blame information for rev 17

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 17 draunzer
/////////////////////////////////////////////////////////////////////
2
////                                                             ////
3
////                                                          ////
4
////  Trigonometric functions using double precision Floating Point Unit        ////
5
////                                                             ////
6
////  Author: Muni Aditya                                        ////
7
////          muni_aditya@yahoo.com                                ////
8
////                                                             ////
9
/////////////////////////////////////////////////////////////////////
10
////                                                             ////
11
//// Copyright (C) 2013 Muni Aditya                           ////
12
////                  muni_aditya@yahoo.com                        ////
13
////                                                             ////
14
//// This source file may be used and distributed without        ////
15
//// restriction provided that this copyright statement is not   ////
16
//// removed from the file and that any derivative work contains ////
17
//// the original copyright notice and the associated disclaimer.////
18
////                                                             ////
19
////     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ////
20
//// EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ////
21
//// TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ////
22
//// FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ////
23
//// OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ////
24
//// INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ////
25
//// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ////
26
//// GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ////
27
//// BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ////
28
//// LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ////
29
//// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ////
30
//// OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ////
31
//// POSSIBILITY OF SUCH DAMAGE.                                 ////
32
////                                                             ////
33
/////////////////////////////////////////////////////////////////////
34
 
35
`timescale 1ns / 100ps
36
 
37
`define INPUT_WIDTH 32
38
 
39
module secant_lut (quad, enable, degrees, data, rst, clk);
40
 
41
input [1:0] quad;
42
input enable;
43
input rst;
44
input [`INPUT_WIDTH-1:0] degrees ;
45
input clk;
46
 
47
//////////////inputs/////////////////
48
 
49
output reg [63:0] data;
50
 
51
//////////////output/////////////////
52
 
53
 
54
always@(posedge clk )
55
 
56
//needs to be positive in first and fourth quadrants
57
 
58
 begin
59
        if (quad == 2'b01 || quad == 2'b10)
60
        begin
61
        data[63] <= 1'b1;
62
        end
63
 
64
        else
65
        begin
66
        data[63] <= 1'b0;
67
        end
68
 
69
        if(enable)
70
        case (degrees)
71
 
72
// look up table
73
 
74
`INPUT_WIDTH'd0  : data[62:0] <= 64'h3ff0000000000000;
75
`INPUT_WIDTH'd1  : data[62:0] <= 64'h3ff0009fba3f7835;
76
`INPUT_WIDTH'd2  : data[62:0] <= 64'h3ff0027f274d432f;
77
`INPUT_WIDTH'd3  : data[62:0] <= 64'h3ff0059f0252e0bc;
78
`INPUT_WIDTH'd4  : data[62:0] <= 64'h3ff00a008406617c;
79
`INPUT_WIDTH'd5  : data[62:0] <= 64'h3ff00fa563d53203;
80
`INPUT_WIDTH'd6  : data[62:0] <= 64'h3ff0168fd9895209;
81
`INPUT_WIDTH'd7  : data[62:0] <= 64'h3ff01ec29f6be927;
82
`INPUT_WIDTH'd8  : data[62:0] <= 64'h3ff02840f4e91085;
83
`INPUT_WIDTH'd9  : data[62:0] <= 64'h3ff0330ea1b99998;
84
`INPUT_WIDTH'd10 : data[62:0] <= 64'h3ff03f2ff9989907;
85
`INPUT_WIDTH'd11 : data[62:0] <= 64'h3ff04ca9e08b8cb6;
86
`INPUT_WIDTH'd12 : data[62:0] <= 64'h3ff05b81cfc51885;
87
`INPUT_WIDTH'd13 : data[62:0] <= 64'h3ff06bbddb2b91b8;
88
`INPUT_WIDTH'd14 : data[62:0] <= 64'h3ff07d64b78dea34;
89
`INPUT_WIDTH'd15 : data[62:0] <= 64'h3ff0907dc1930690;
90
`INPUT_WIDTH'd16 : data[62:0] <= 64'h3ff0a51105712a50;
91
`INPUT_WIDTH'd17 : data[62:0] <= 64'h3ff0bb27477cf20f;
92
`INPUT_WIDTH'd18 : data[62:0] <= 64'h3ff0d2ca0da1530d;
93
`INPUT_WIDTH'd19 : data[62:0] <= 64'h3ff0ec03a9d451e4;
94
`INPUT_WIDTH'd20 : data[62:0] <= 64'h3ff106df459ea072;
95
`INPUT_WIDTH'd21 : data[62:0] <= 64'h3ff12368eecf1f68;
96
`INPUT_WIDTH'd22 : data[62:0] <= 64'h3ff141ada5766662;
97
`INPUT_WIDTH'd23 : data[62:0] <= 64'h3ff161bb6b4a03f3;
98
`INPUT_WIDTH'd24 : data[62:0] <= 64'h3ff183a154932d8b;
99
`INPUT_WIDTH'd25 : data[62:0] <= 64'h3ff1a76f9ad128b7;
100
`INPUT_WIDTH'd26 : data[62:0] <= 64'h3ff1cd37b13ce9c7;
101
`INPUT_WIDTH'd27 : data[62:0] <= 64'h3ff1f50c5b61511e;
102
`INPUT_WIDTH'd28 : data[62:0] <= 64'h3ff21f01c602373c;
103
`INPUT_WIDTH'd29 : data[62:0] <= 64'h3ff24b2da2943b49;
104
`INPUT_WIDTH'd30 : data[62:0] <= 64'h3ff279a74590331c;
105
`INPUT_WIDTH'd31 : data[62:0] <= 64'h3ff2aa87c7f7612a;
106
`INPUT_WIDTH'd32 : data[62:0] <= 64'h3ff2ddea2c696f6a;
107
`INPUT_WIDTH'd33 : data[62:0] <= 64'h3ff313eb883ae676;
108
`INPUT_WIDTH'd34 : data[62:0] <= 64'h3ff34cab310ac280;
109
`INPUT_WIDTH'd35 : data[62:0] <= 64'h3ff3884aef684af8;
110
`INPUT_WIDTH'd36 : data[62:0] <= 64'h3ff3c6ef372fe94f;
111
`INPUT_WIDTH'd37 : data[62:0] <= 64'h3ff408bf665efb99;
112
`INPUT_WIDTH'd38 : data[62:0] <= 64'h3ff44de60b3c3d86;
113
`INPUT_WIDTH'd39 : data[62:0] <= 64'h3ff4969132d53891;
114
`INPUT_WIDTH'd40 : data[62:0] <= 64'h3ff4e2f2c0fa463b;
115
`INPUT_WIDTH'd41 : data[62:0] <= 64'h3ff53340d31354d4;
116
`INPUT_WIDTH'd42 : data[62:0] <= 64'h3ff587b62f6162b3;
117
`INPUT_WIDTH'd43 : data[62:0] <= 64'h3ff5e092c2857578;
118
`INPUT_WIDTH'd44 : data[62:0] <= 64'h3ff63e1c2d781ad9;
119
`INPUT_WIDTH'd45 : data[62:0] <= 64'h3ff6a09e667f3bcc;
120
`INPUT_WIDTH'd46 : data[62:0] <= 64'h3ff7086c7026f77d;
121
`INPUT_WIDTH'd47 : data[62:0] <= 64'h3ff775e129d20b11;
122
`INPUT_WIDTH'd48 : data[62:0] <= 64'h3ff7e9603e24eb24;
123
`INPUT_WIDTH'd49 : data[62:0] <= 64'h3ff863573463a808;
124
`INPUT_WIDTH'd50 : data[62:0] <= 64'h3ff8e43eaadf9333;
125
`INPUT_WIDTH'd51 : data[62:0] <= 64'h3ff96c9bc1d2abfe;
126
`INPUT_WIDTH'd52 : data[62:0] <= 64'h3ff9fd01bf93f3a3;
127
`INPUT_WIDTH'd53 : data[62:0] <= 64'h3ffa9613f8fd7861;
128
`INPUT_WIDTH'd54 : data[62:0] <= 64'h3ffb38880b4603e4;
129
`INPUT_WIDTH'd55 : data[62:0] <= 64'h3ffbe52877982345;
130
`INPUT_WIDTH'd56 : data[62:0] <= 64'h3ffc9cd7b485648a;
131
`INPUT_WIDTH'd57 : data[62:0] <= 64'h3ffd6093ce555fa5;
132
`INPUT_WIDTH'd58 : data[62:0] <= 64'h3ffe317ab5700fce;
133
`INPUT_WIDTH'd59 : data[62:0] <= 64'h3fff10cf62336e2d;
134
`INPUT_WIDTH'd60 : data[62:0] <= 64'h3ffffffffffffffe;
135
`INPUT_WIDTH'd61 : data[62:0] <= 64'h40008056af82561c;
136
`INPUT_WIDTH'd62 : data[62:0] <= 64'h40010a59ff3c94be;
137
`INPUT_WIDTH'd63 : data[62:0] <= 64'h40019f1b8c9526ef;
138
`INPUT_WIDTH'd64 : data[62:0] <= 64'h40023fd71f682340;
139
`INPUT_WIDTH'd65 : data[62:0] <= 64'h4002edfb187b1137;
140
`INPUT_WIDTH'd66 : data[62:0] <= 64'h4003ab32fb93a3a4;
141
`INPUT_WIDTH'd67 : data[62:0] <= 64'h40047974b96de77f;
142
`INPUT_WIDTH'd68 : data[62:0] <= 64'h40055b11998752bf;
143
`INPUT_WIDTH'd69 : data[62:0] <= 64'h400652cbf905707c;
144
`INPUT_WIDTH'd70 : data[62:0] <= 64'h400763f38fb4cf92;
145
`INPUT_WIDTH'd71 : data[62:0] <= 64'h4008928aa26c4c06;
146
`INPUT_WIDTH'd72 : data[62:0] <= 64'h4009e3779b97f4a7;
147
`INPUT_WIDTH'd73 : data[62:0] <= 64'h400b5cc824ec982d;
148
`INPUT_WIDTH'd74 : data[62:0] <= 64'h400d060d6ac58d68;
149
`INPUT_WIDTH'd75 : data[62:0] <= 64'h400ee8dd4748bf16;
150
`INPUT_WIDTH'd76 : data[62:0] <= 64'h401088c56499f43a;
151
`INPUT_WIDTH'd77 : data[62:0] <= 64'h4011c819f29be021;
152
`INPUT_WIDTH'd78 : data[62:0] <= 64'h40133d2b00047f05;
153
`INPUT_WIDTH'd79 : data[62:0] <= 64'h4014f69f907046fe;
154
`INPUT_WIDTH'd80 : data[62:0] <= 64'h401708fb2129168b;
155
`INPUT_WIDTH'd81 : data[62:0] <= 64'h401991df41de341a;
156
`INPUT_WIDTH'd82 : data[62:0] <= 64'h401cbdbe5febffaf;
157
`INPUT_WIDTH'd83 : data[62:0] <= 64'h402069387b617567;
158
`INPUT_WIDTH'd84 : data[62:0] <= 64'h4023222ff85e6006;
159
`INPUT_WIDTH'd85 : data[62:0] <= 64'h4026f28a8ae3aafa;
160
`INPUT_WIDTH'd86 : data[62:0] <= 64'h402cabd2100d0374;
161
`INPUT_WIDTH'd87 : data[62:0] <= 64'h40331b797e990db2;
162
`INPUT_WIDTH'd88 : data[62:0] <= 64'h403ca7596e271c6c;
163
`INPUT_WIDTH'd89 : data[62:0] <= 64'h404ca63b6cba7b49;
164
`INPUT_WIDTH'd90 : data[62:0] <= 64'h7ff0000000000000;
165
 
166
 
167
default:data <= 64'h0;
168
 
169
endcase
170
 
171
else
172
  data <= 64'hxxxxxxxxxxxxxxx;
173
 
174
 
175
 
176
end
177
 
178
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.