OpenCores
URL https://opencores.org/ocsvn/tsv/tsv/trunk

Subversion Repositories tsv

[/] [tsv/] [trunk/] [test/] [T001.TSV] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 chenm001
module mkExample (Empty);
2
    //Reg#(Bit#(8)) r <- mkReg(?);    // don't-care is used for the
3
    //Reg#(Bit#(8)) r1<- mkReg(8'h33);
4
    //Reg#(Bit#(8)) r2<- mkReg(~0);
5
    rule every0(True );             // reset value of the Reg
6
        $display("value is %h", 0); // the value of r is displayed
7
        $display("value is %h", x); // the value of r is displayed
8
    endrule
9
    rule every1(True );             // reset value of the Reg
10
        $display("value is %h", 1, 2, x); // the value of r is displayed
11
    endrule
12
endmodule
13
 
14
module [M1] mkExample1 (Empty);
15
    rule every2;             // reset value of the Reg
16
        $display("OK?");
17
    endrule
18
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.