OpenCores
URL https://opencores.org/ocsvn/uart16550/uart16550/trunk

Subversion Repositories uart16550

[/] [uart16550/] [trunk/] [rtl/] [verilog/] [uart_regs.v] - Blame information for rev 59

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 27 mohor
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  uart_regs.v                                                 ////
4
////                                                              ////
5
////                                                              ////
6
////  This file is part of the "UART 16550 compatible" project    ////
7
////  http://www.opencores.org/cores/uart16550/                   ////
8
////                                                              ////
9
////  Documentation related to this project:                      ////
10
////  - http://www.opencores.org/cores/uart16550/                 ////
11
////                                                              ////
12
////  Projects compatibility:                                     ////
13
////  - WISHBONE                                                  ////
14
////  RS232 Protocol                                              ////
15
////  16550D uart (mostly supported)                              ////
16
////                                                              ////
17
////  Overview (main Features):                                   ////
18
////  Registers of the uart 16550 core                            ////
19
////                                                              ////
20
////  Known problems (limits):                                    ////
21
////  Inserts 1 wait state in all WISHBONE transfers              ////
22
////                                                              ////
23
////  To Do:                                                      ////
24
////  Nothing or verification.                                    ////
25
////                                                              ////
26
////  Author(s):                                                  ////
27
////      - gorban@opencores.org                                  ////
28
////      - Jacob Gorban                                          ////
29 29 mohor
////      - Igor Mohor (igorm@opencores.org)                      ////
30 27 mohor
////                                                              ////
31
////  Created:        2001/05/12                                  ////
32
////  Last Updated:   (See log for the revision history           ////
33
////                                                              ////
34
////                                                              ////
35
//////////////////////////////////////////////////////////////////////
36
////                                                              ////
37 29 mohor
//// Copyright (C) 2000, 2001 Authors                             ////
38 27 mohor
////                                                              ////
39
//// This source file may be used and distributed without         ////
40
//// restriction provided that this copyright statement is not    ////
41
//// removed from the file and that any derivative work contains  ////
42
//// the original copyright notice and the associated disclaimer. ////
43
////                                                              ////
44
//// This source file is free software; you can redistribute it   ////
45
//// and/or modify it under the terms of the GNU Lesser General   ////
46
//// Public License as published by the Free Software Foundation; ////
47
//// either version 2.1 of the License, or (at your option) any   ////
48
//// later version.                                               ////
49
////                                                              ////
50
//// This source is distributed in the hope that it will be       ////
51
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
52
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
53
//// PURPOSE.  See the GNU Lesser General Public License for more ////
54
//// details.                                                     ////
55
////                                                              ////
56
//// You should have received a copy of the GNU Lesser General    ////
57
//// Public License along with this source; if not, download it   ////
58
//// from http://www.opencores.org/lgpl.shtml                     ////
59
////                                                              ////
60
//////////////////////////////////////////////////////////////////////
61
//
62
// CVS Revision History
63
//
64
// $Log: not supported by cvs2svn $
65 59 mohor
// Revision 1.31  2001/12/14 10:06:58  mohor
66
// After reset modem status register MSR should be reset.
67
//
68 58 mohor
// Revision 1.30  2001/12/13 10:09:13  mohor
69
// thre irq should be cleared only when being source of interrupt.
70
//
71 56 mohor
// Revision 1.29  2001/12/12 09:05:46  mohor
72
// LSR status bit 0 was not cleared correctly in case of reseting the FCR (rx fifo).
73
//
74 54 mohor
// Revision 1.28  2001/12/10 19:52:41  gorban
75
// Scratch register added
76
//
77 52 gorban
// Revision 1.27  2001/12/06 14:51:04  gorban
78
// Bug in LSR[0] is fixed.
79
// All WISHBONE signals are now sampled, so another wait-state is introduced on all transfers.
80
//
81 50 gorban
// Revision 1.26  2001/12/03 21:44:29  gorban
82
// Updated specification documentation.
83
// Added full 32-bit data bus interface, now as default.
84
// Address is 5-bit wide in 32-bit data bus mode.
85
// Added wb_sel_i input to the core. It's used in the 32-bit mode.
86
// Added debug interface with two 32-bit read-only registers in 32-bit mode.
87
// Bits 5 and 6 of LSR are now only cleared on TX FIFO write.
88
// My small test bench is modified to work with 32-bit mode.
89
//
90 48 gorban
// Revision 1.25  2001/11/28 19:36:39  gorban
91
// Fixed: timeout and break didn't pay attention to current data format when counting time
92
//
93 47 gorban
// Revision 1.24  2001/11/26 21:38:54  gorban
94
// Lots of fixes:
95
// Break condition wasn't handled correctly at all.
96
// LSR bits could lose their values.
97
// LSR value after reset was wrong.
98
// Timing of THRE interrupt signal corrected.
99
// LSR bit 0 timing corrected.
100
//
101 45 gorban
// Revision 1.23  2001/11/12 21:57:29  gorban
102
// fixed more typo bugs
103
//
104 44 gorban
// Revision 1.22  2001/11/12 15:02:28  mohor
105
// lsr1r error fixed.
106
//
107 43 mohor
// Revision 1.21  2001/11/12 14:57:27  mohor
108
// ti_int_pnd error fixed.
109
//
110 42 mohor
// Revision 1.20  2001/11/12 14:50:27  mohor
111
// ti_int_d error fixed.
112
//
113 41 mohor
// Revision 1.19  2001/11/10 12:43:21  gorban
114
// Synthesis bugs fixed. Some other minor changes
115
//
116 40 gorban
// Revision 1.18  2001/11/08 14:54:23  mohor
117
// Comments in Slovene language deleted, few small fixes for better work of
118
// old tools. IRQs need to be fix.
119
//
120 39 mohor
// Revision 1.17  2001/11/07 17:51:52  gorban
121
// Heavily rewritten interrupt and LSR subsystems.
122
// Many bugs hopefully squashed.
123
//
124 37 gorban
// Revision 1.16  2001/11/02 09:55:16  mohor
125
// no message
126
//
127 36 mohor
// Revision 1.15  2001/10/31 15:19:22  gorban
128
// Fixes to break and timeout conditions
129
//
130 35 gorban
// Revision 1.14  2001/10/29 17:00:46  gorban
131
// fixed parity sending and tx_fifo resets over- and underrun
132
//
133 34 gorban
// Revision 1.13  2001/10/20 09:58:40  gorban
134
// Small synopsis fixes
135
//
136 33 gorban
// Revision 1.12  2001/10/19 16:21:40  gorban
137
// Changes data_out to be synchronous again as it should have been.
138
//
139 32 gorban
// Revision 1.11  2001/10/18 20:35:45  gorban
140
// small fix
141
//
142 31 gorban
// Revision 1.10  2001/08/24 21:01:12  mohor
143
// Things connected to parity changed.
144
// Clock devider changed.
145
//
146 29 mohor
// Revision 1.9  2001/08/23 16:05:05  mohor
147
// Stop bit bug fixed.
148
// Parity bug fixed.
149
// WISHBONE read cycle bug fixed,
150
// OE indicator (Overrun Error) bug fixed.
151
// PE indicator (Parity Error) bug fixed.
152
// Register read bug fixed.
153
//
154 27 mohor
// Revision 1.10  2001/06/23 11:21:48  gorban
155
// DL made 16-bit long. Fixed transmission/reception bugs.
156
//
157
// Revision 1.9  2001/05/31 20:08:01  gorban
158
// FIFO changes and other corrections.
159
//
160
// Revision 1.8  2001/05/29 20:05:04  gorban
161
// Fixed some bugs and synthesis problems.
162
//
163
// Revision 1.7  2001/05/27 17:37:49  gorban
164
// Fixed many bugs. Updated spec. Changed FIFO files structure. See CHANGES.txt file.
165
//
166
// Revision 1.6  2001/05/21 19:12:02  gorban
167
// Corrected some Linter messages.
168
//
169
// Revision 1.5  2001/05/17 18:34:18  gorban
170
// First 'stable' release. Should be sythesizable now. Also added new header.
171
//
172
// Revision 1.0  2001-05-17 21:27:11+02  jacob
173
// Initial revision
174
//
175
//
176
 
177 33 gorban
// synopsys translate_off
178 27 mohor
`include "timescale.v"
179 33 gorban
// synopsys translate_on
180
 
181 27 mohor
`include "uart_defines.v"
182
 
183
`define UART_DL1 7:0
184
`define UART_DL2 15:8
185
 
186
module uart_regs (clk,
187
        wb_rst_i, wb_addr_i, wb_dat_i, wb_dat_o, wb_we_i, wb_re_i,
188
 
189
// additional signals
190
        modem_inputs,
191
        stx_pad_o, srx_pad_i,
192 48 gorban
 
193
`ifdef DATA_BUS_WIDTH_8
194
`else
195
// debug interface signals      enabled
196
ier, iir, fcr, mcr, lcr, msr, lsr, rf_count, tf_count, tstate, rstate,
197
`endif
198 27 mohor
        rts_pad_o, dtr_pad_o, int_o
199
        );
200
 
201 37 gorban
input                                                                   clk;
202
input                                                                   wb_rst_i;
203
input [`UART_ADDR_WIDTH-1:0]             wb_addr_i;
204
input [7:0]                                                      wb_dat_i;
205
output [7:0]                                                     wb_dat_o;
206
input                                                                   wb_we_i;
207
input                                                                   wb_re_i;
208 27 mohor
 
209 37 gorban
output                                                                  stx_pad_o;
210
input                                                                   srx_pad_i;
211 27 mohor
 
212 37 gorban
input [3:0]                                                      modem_inputs;
213
output                                                                  rts_pad_o;
214
output                                                                  dtr_pad_o;
215
output                                                                  int_o;
216 27 mohor
 
217 48 gorban
`ifdef DATA_BUS_WIDTH_8
218
`else
219
// if 32-bit databus and debug interface are enabled
220
output [3:0]                                                     ier;
221
output [3:0]                                                     iir;
222
output [1:0]                                                     fcr;  /// bits 7 and 6 of fcr. Other bits are ignored
223
output [4:0]                                                     mcr;
224
output [7:0]                                                     lcr;
225
output [7:0]                                                     msr;
226
output [7:0]                                                     lsr;
227
output [`UART_FIFO_COUNTER_W-1:0]        rf_count;
228
output [`UART_FIFO_COUNTER_W-1:0]        tf_count;
229
output [2:0]                                                     tstate;
230
output [3:0]                                                     rstate;
231
 
232
`endif
233
 
234 37 gorban
wire [3:0]                                                               modem_inputs;
235
reg                                                                             enable;
236
wire                                                                            stx_pad_o;              // received from transmitter module
237
wire                                                                            srx_pad_i;
238 27 mohor
 
239 37 gorban
reg [7:0]                                                                wb_dat_o;
240 27 mohor
 
241 37 gorban
wire [`UART_ADDR_WIDTH-1:0]              wb_addr_i;
242
wire [7:0]                                                               wb_dat_i;
243 27 mohor
 
244
 
245 37 gorban
reg [3:0]                                                                ier;
246
reg [3:0]                                                                iir;
247
reg [1:0]                                                                fcr;  /// bits 7 and 6 of fcr. Other bits are ignored
248
reg [4:0]                                                                mcr;
249
reg [7:0]                                                                lcr;
250
reg [7:0]                                                                msr;
251
reg [15:0]                                                               dl;  // 32-bit divisor latch
252 52 gorban
reg [7:0]                                                                scratch; // UART scratch register
253 37 gorban
reg                                                                             start_dlc; // activate dlc on writing to UART_DL1
254
reg                                                                             lsr_mask_d; // delay for lsr_mask condition
255
reg                                                                             msi_reset; // reset MSR 4 lower bits indicator
256 40 gorban
//reg                                                                           threi_clear; // THRE interrupt clear flag
257 37 gorban
reg [15:0]                                                               dlc;  // 32-bit divisor latch counter
258
reg                                                                             int_o;
259 27 mohor
 
260 37 gorban
reg [3:0]                                                                trigger_level; // trigger level of the receiver FIFO
261
reg                                                                             rx_reset;
262
reg                                                                             tx_reset;
263 27 mohor
 
264 37 gorban
wire                                                                            dlab;                      // divisor latch access bit
265
wire                                                                            cts_pad_i, dsr_pad_i, ri_pad_i, dcd_pad_i; // modem status bits
266
wire                                                                            loopback;                  // loopback bit (MCR bit 4)
267
wire                                                                            cts, dsr, ri, dcd;         // effective signals (considering loopback)
268 59 mohor
wire                    cts_c, dsr_c, ri_c, dcd_c; // Complement effective signals (considering loopback)
269 37 gorban
wire                                                                            rts_pad_o, dtr_pad_o;              // modem control outputs
270 27 mohor
 
271 37 gorban
// LSR bits wires and regs
272
wire [7:0]                                                               lsr;
273
wire                                                                            lsr0, lsr1, lsr2, lsr3, lsr4, lsr5, lsr6, lsr7;
274
reg                                                                             lsr0r, lsr1r, lsr2r, lsr3r, lsr4r, lsr5r, lsr6r, lsr7r;
275
wire                                                                            lsr_mask; // lsr_mask
276
 
277 27 mohor
//
278
// ASSINGS
279
//
280
 
281 37 gorban
assign                                                                  lsr[7:0] = { lsr7r, lsr6r, lsr5r, lsr4r, lsr3r, lsr2r, lsr1r, lsr0r };
282 27 mohor
 
283 37 gorban
assign                                                                  {cts_pad_i, dsr_pad_i, ri_pad_i, dcd_pad_i} = modem_inputs;
284 59 mohor
assign                                                                  {cts, dsr, ri, dcd} = loopback ? {mcr[`UART_MC_DTR],mcr[`UART_MC_RTS],mcr[`UART_MC_OUT1],mcr[`UART_MC_OUT2]}
285 37 gorban
                                                                                        : ~{cts_pad_i,dsr_pad_i,ri_pad_i,dcd_pad_i};
286
 
287 59 mohor
assign                  {cts_c, dsr_c, ri_c, dcd_c} = loopback ? {mcr[`UART_MC_RTS],mcr[`UART_MC_DTR],mcr[`UART_MC_OUT1],mcr[`UART_MC_OUT2]}
288
                      :  {cts_pad_i,dsr_pad_i,ri_pad_i,dcd_pad_i};
289
 
290 37 gorban
assign                                                                  dlab = lcr[`UART_LC_DL];
291
assign                                                                  loopback = mcr[4];
292
 
293 27 mohor
// assign modem outputs
294 37 gorban
assign                                                                  rts_pad_o = mcr[`UART_MC_RTS];
295
assign                                                                  dtr_pad_o = mcr[`UART_MC_DTR];
296 27 mohor
 
297
// Interrupt signals
298 37 gorban
wire                                                                            rls_int;  // receiver line status interrupt
299
wire                                                                            rda_int;  // receiver data available interrupt
300
wire                                                                            ti_int;   // timeout indicator interrupt
301
wire                                                                            thre_int; // transmitter holding register empty interrupt
302
wire                                                                            ms_int;   // modem status interrupt
303 27 mohor
 
304
// FIFO signals
305 37 gorban
reg                                                                             tf_push;
306
reg                                                                             rf_pop;
307
wire [`UART_FIFO_REC_WIDTH-1:0]  rf_data_out;
308
wire                                                                            rf_error_bit; // an error (parity or framing) is inside the fifo
309
wire [`UART_FIFO_COUNTER_W-1:0]  rf_count;
310
wire [`UART_FIFO_COUNTER_W-1:0]  tf_count;
311 48 gorban
wire [2:0]                                                               tstate;
312
wire [3:0]                                                               rstate;
313 37 gorban
wire [9:0]                                                               counter_t;
314 27 mohor
 
315 37 gorban
 
316 27 mohor
// Transmitter Instance
317 48 gorban
uart_transmitter transmitter(clk, wb_rst_i, lcr, tf_push, wb_dat_i, enable, stx_pad_o, tstate, tf_count, tx_reset, lsr_mask);
318 27 mohor
 
319
// Receiver Instance
320
uart_receiver receiver(clk, wb_rst_i, lcr, rf_pop, srx_pad_i, enable, rda_int,
321 50 gorban
        counter_t, rf_count, rf_data_out, rf_error_bit, rf_overrun, rx_reset, lsr_mask, rstate, rf_push);
322 27 mohor
 
323 32 gorban
 
324 48 gorban
// Asynchronous reading here because the outputs are sampled in uart_wb.v file 
325 52 gorban
always @(dl or dlab or ier or iir or scratch
326 48 gorban
                        or lcr or lsr or msr or rf_data_out or wb_addr_i or wb_re_i)   // asynchrounous reading
327 27 mohor
begin
328 52 gorban
        case (wb_addr_i)
329
                `UART_REG_RB   : wb_dat_o = dlab ? dl[`UART_DL1] : rf_data_out[10:3];
330
                `UART_REG_IE    : wb_dat_o = dlab ? dl[`UART_DL2] : ier;
331
                `UART_REG_II    : wb_dat_o = {4'b1100,iir};
332
                `UART_REG_LC    : wb_dat_o = lcr;
333
                `UART_REG_LS    : wb_dat_o = lsr;
334
                `UART_REG_MS    : wb_dat_o = msr;
335
                `UART_REG_SR    : wb_dat_o = scratch;
336
                default:  wb_dat_o = 8'b0; // ??
337
        endcase // case(wb_addr_i)
338
end // always @ (dl or dlab or ier or iir or scratch...
339 27 mohor
 
340 52 gorban
 
341 27 mohor
// rf_pop signal handling
342
always @(posedge clk or posedge wb_rst_i)
343
begin
344
        if (wb_rst_i)
345
                rf_pop <= #1 0;
346
        else
347
        if (rf_pop)     // restore the signal to 0 after one clock cycle
348
                rf_pop <= #1 0;
349
        else
350
        if (wb_re_i && wb_addr_i == `UART_REG_RB && !dlab)
351
                rf_pop <= #1 1; // advance read pointer
352
end
353
 
354 37 gorban
wire    lsr_mask_condition;
355
wire    iir_read;
356
wire  msr_read;
357
wire    fifo_read;
358 45 gorban
wire    fifo_write;
359 37 gorban
 
360
assign lsr_mask_condition = (wb_re_i && wb_addr_i == `UART_REG_LS && !dlab);
361
assign iir_read = (wb_re_i && wb_addr_i == `UART_REG_II && !dlab);
362
assign msr_read = (wb_re_i && wb_addr_i == `UART_REG_MS && !dlab);
363
assign fifo_read = (wb_re_i && wb_addr_i == `UART_REG_RB && !dlab);
364 45 gorban
assign fifo_write = (wb_we_i && wb_addr_i == `UART_REG_TR && !dlab);
365 37 gorban
 
366
// lsr_mask_d delayed signal handling
367 27 mohor
always @(posedge clk or posedge wb_rst_i)
368
begin
369
        if (wb_rst_i)
370 37 gorban
                lsr_mask_d <= #1 0;
371
        else // reset bits in the Line Status Register
372
                lsr_mask_d <= #1 lsr_mask_condition;
373 27 mohor
end
374
 
375 37 gorban
// lsr_mask is rise detected
376
assign lsr_mask = lsr_mask_condition && ~lsr_mask_d;
377 27 mohor
 
378
// msi_reset signal handling
379
always @(posedge clk or posedge wb_rst_i)
380
begin
381
        if (wb_rst_i)
382 58 mohor
                msi_reset <= #1 1;
383 27 mohor
        else
384
        if (msi_reset)
385
                msi_reset <= #1 0;
386
        else
387 47 gorban
        if (msr_read)
388 27 mohor
                msi_reset <= #1 1; // reset bits in Modem Status Register
389
end
390
 
391
 
392
//
393
//   WRITES AND RESETS   //
394
//
395
// Line Control Register
396
always @(posedge clk or posedge wb_rst_i)
397
        if (wb_rst_i)
398
                lcr <= #1 8'b00000011; // 8n1 setting
399
        else
400
        if (wb_we_i && wb_addr_i==`UART_REG_LC)
401
                lcr <= #1 wb_dat_i;
402
 
403
// Interrupt Enable Register or UART_DL2
404
always @(posedge clk or posedge wb_rst_i)
405
        if (wb_rst_i)
406
        begin
407
                ier <= #1 4'b0000; // no interrupts after reset
408
                dl[`UART_DL2] <= #1 8'b0;
409
        end
410
        else
411
        if (wb_we_i && wb_addr_i==`UART_REG_IE)
412
                if (dlab)
413
                begin
414
                        dl[`UART_DL2] <= #1 wb_dat_i;
415
                end
416
                else
417
                        ier <= #1 wb_dat_i[3:0]; // ier uses only 4 lsb
418
 
419
 
420
// FIFO Control Register and rx_reset, tx_reset signals
421
always @(posedge clk or posedge wb_rst_i)
422
        if (wb_rst_i) begin
423
                fcr <= #1 2'b11;
424
                rx_reset <= #1 0;
425
                tx_reset <= #1 0;
426
        end else
427
        if (wb_we_i && wb_addr_i==`UART_REG_FC) begin
428
                fcr <= #1 wb_dat_i[7:6];
429
                rx_reset <= #1 wb_dat_i[1];
430
                tx_reset <= #1 wb_dat_i[2];
431 37 gorban
        end else begin
432 27 mohor
                rx_reset <= #1 0;
433
                tx_reset <= #1 0;
434
        end
435
 
436
// Modem Control Register
437
always @(posedge clk or posedge wb_rst_i)
438
        if (wb_rst_i)
439
                mcr <= #1 5'b0;
440
        else
441
        if (wb_we_i && wb_addr_i==`UART_REG_MC)
442
                        mcr <= #1 wb_dat_i[4:0];
443
 
444 52 gorban
// Scratch register
445
// Line Control Register
446
always @(posedge clk or posedge wb_rst_i)
447
        if (wb_rst_i)
448
                scratch <= #1 0; // 8n1 setting
449
        else
450
        if (wb_we_i && wb_addr_i==`UART_REG_SR)
451
                scratch <= #1 wb_dat_i;
452
 
453 27 mohor
// TX_FIFO or UART_DL1
454
always @(posedge clk or posedge wb_rst_i)
455
        if (wb_rst_i)
456
        begin
457
                dl[`UART_DL1]  <= #1 8'b0;
458
                tf_push   <= #1 1'b0;
459
                start_dlc <= #1 1'b0;
460
        end
461
        else
462
        if (wb_we_i && wb_addr_i==`UART_REG_TR)
463
                if (dlab)
464
                begin
465
                        dl[`UART_DL1] <= #1 wb_dat_i;
466
                        start_dlc <= #1 1'b1; // enable DL counter
467
                        tf_push <= #1 1'b0;
468
                end
469
                else
470
                begin
471
                        tf_push   <= #1 1'b1;
472
                        start_dlc <= #1 1'b0;
473 37 gorban
                end // else: !if(dlab)
474 27 mohor
        else
475
        begin
476
                start_dlc <= #1 1'b0;
477
                tf_push   <= #1 1'b0;
478 37 gorban
        end // else: !if(dlab)
479 27 mohor
 
480
// Receiver FIFO trigger level selection logic (asynchronous mux)
481 31 gorban
always @(fcr)
482 27 mohor
        case (fcr[`UART_FC_TL])
483
                2'b00 : trigger_level = 1;
484
                2'b01 : trigger_level = 4;
485
                2'b10 : trigger_level = 8;
486
                2'b11 : trigger_level = 14;
487 37 gorban
        endcase // case(fcr[`UART_FC_TL])
488 27 mohor
 
489
//
490
//  STATUS REGISTERS  //
491
//
492
 
493
// Modem Status Register
494 59 mohor
reg [3:0] delayed_modem_signals;
495 27 mohor
always @(posedge clk or posedge wb_rst_i)
496
begin
497
        if (wb_rst_i)
498
                msr <= #1 0;
499
        else begin
500
                msr[`UART_MS_DDCD:`UART_MS_DCTS] <= #1 msi_reset ? 4'b0 :
501 59 mohor
                        msr[`UART_MS_DDCD:`UART_MS_DCTS] | ({dcd, ri, dsr, cts} ^ delayed_modem_signals[3:0]);
502
                msr[`UART_MS_CDCD:`UART_MS_CCTS] <= #1 {dcd_c, ri_c, dsr_c, cts_c};
503
                delayed_modem_signals[3:0] <= #1 {dcd, ri, dsr, cts};
504 27 mohor
        end
505
end
506
 
507 58 mohor
 
508
 
509 27 mohor
// Line Status Register
510 37 gorban
 
511
// activation conditions
512 50 gorban
assign lsr0 = (rf_count==0 && rf_push);  // data in receiver fifo available set condition
513 37 gorban
assign lsr1 = rf_overrun;     // Receiver overrun error
514
assign lsr2 = rf_data_out[1]; // parity error bit
515
assign lsr3 = rf_data_out[0]; // framing error bit
516 45 gorban
assign lsr4 = rf_data_out[2]; // break error in the character
517 37 gorban
assign lsr5 = (tf_count==5'b0);  // transmitter fifo is empty
518 48 gorban
assign lsr6 = (tf_count==5'b0 && (tstate == /*`S_IDLE */ 0)); // transmitter empty
519 37 gorban
assign lsr7 = rf_error_bit;
520
 
521
// lsr bit0 (receiver data available)
522 45 gorban
reg      lsr0_d;
523
 
524 27 mohor
always @(posedge clk or posedge wb_rst_i)
525 45 gorban
        if (wb_rst_i) lsr0_d <= #1 0;
526
        else lsr0_d <= #1 lsr0;
527
 
528
always @(posedge clk or posedge wb_rst_i)
529 37 gorban
        if (wb_rst_i) lsr0r <= #1 0;
530 54 mohor
        else lsr0r <= #1 (rf_count==1 && fifo_read || rx_reset) ? 0 : // deassert condition
531 45 gorban
                                          lsr0r || (lsr0 && ~lsr0_d); // set on rise of lsr0 and keep asserted until deasserted 
532 27 mohor
 
533 37 gorban
// lsr bit 1 (receiver overrun)
534
reg lsr1_d; // delayed
535 29 mohor
 
536 37 gorban
always @(posedge clk or posedge wb_rst_i)
537
        if (wb_rst_i) lsr1_d <= #1 0;
538
        else lsr1_d <= #1 lsr1;
539
 
540
always @(posedge clk or posedge wb_rst_i)
541 43 mohor
        if (wb_rst_i) lsr1r <= #1 0;
542 45 gorban
        else    lsr1r <= #1     lsr_mask ? 0 : lsr1r || (lsr1 && ~lsr1_d); // set on rise
543 37 gorban
 
544
// lsr bit 2 (parity error)
545
reg lsr2_d; // delayed
546
 
547
always @(posedge clk or posedge wb_rst_i)
548
        if (wb_rst_i) lsr2_d <= #1 0;
549
        else lsr2_d <= #1 lsr2;
550
 
551
always @(posedge clk or posedge wb_rst_i)
552 44 gorban
        if (wb_rst_i) lsr2r <= #1 0;
553 45 gorban
        else lsr2r <= #1 lsr_mask ? 0 : lsr2r || (lsr2 && ~lsr2_d); // set on rise
554 37 gorban
 
555
// lsr bit 3 (framing error)
556
reg lsr3_d; // delayed
557
 
558
always @(posedge clk or posedge wb_rst_i)
559
        if (wb_rst_i) lsr3_d <= #1 0;
560
        else lsr3_d <= #1 lsr3;
561
 
562
always @(posedge clk or posedge wb_rst_i)
563 44 gorban
        if (wb_rst_i) lsr3r <= #1 0;
564 45 gorban
        else lsr3r <= #1 lsr_mask ? 0 : lsr3r || (lsr3 && ~lsr3_d); // set on rise
565 37 gorban
 
566
// lsr bit 4 (break indicator)
567
reg lsr4_d; // delayed
568
 
569
always @(posedge clk or posedge wb_rst_i)
570
        if (wb_rst_i) lsr4_d <= #1 0;
571
        else lsr4_d <= #1 lsr4;
572
 
573
always @(posedge clk or posedge wb_rst_i)
574 44 gorban
        if (wb_rst_i) lsr4r <= #1 0;
575 45 gorban
        else lsr4r <= #1 lsr_mask ? 0 : lsr4r || (lsr4 && ~lsr4_d);
576 37 gorban
 
577
// lsr bit 5 (transmitter fifo is empty)
578
reg lsr5_d;
579
 
580
always @(posedge clk or posedge wb_rst_i)
581 45 gorban
        if (wb_rst_i) lsr5_d <= #1 1;
582 37 gorban
        else lsr5_d <= #1 lsr5;
583
 
584
always @(posedge clk or posedge wb_rst_i)
585 45 gorban
        if (wb_rst_i) lsr5r <= #1 1;
586 50 gorban
        else lsr5r <= #1 (fifo_write) ? 0 :  lsr5r || (lsr5 && ~lsr5_d);
587 37 gorban
 
588
// lsr bit 6 (transmitter empty indicator)
589
reg lsr6_d;
590
 
591
always @(posedge clk or posedge wb_rst_i)
592 45 gorban
        if (wb_rst_i) lsr6_d <= #1 1;
593 37 gorban
        else lsr6_d <= #1 lsr6;
594
 
595
always @(posedge clk or posedge wb_rst_i)
596 45 gorban
        if (wb_rst_i) lsr6r <= #1 1;
597 50 gorban
        else lsr6r <= #1 (fifo_write) ? 0 : lsr6r || (lsr6 && ~lsr6_d);
598 37 gorban
 
599
// lsr bit 7 (error in fifo)
600
reg lsr7_d;
601
 
602
always @(posedge clk or posedge wb_rst_i)
603
        if (wb_rst_i) lsr7_d <= #1 0;
604
        else lsr7_d <= #1 lsr7;
605
 
606
always @(posedge clk or posedge wb_rst_i)
607 44 gorban
        if (wb_rst_i) lsr7r <= #1 0;
608 45 gorban
        else lsr7r <= #1 lsr_mask ? 0 : lsr7r || (lsr7 && ~lsr7_d);
609 37 gorban
 
610 29 mohor
// Frequency divider
611 37 gorban
always @(posedge clk or posedge wb_rst_i)
612 29 mohor
begin
613
        if (wb_rst_i)
614
                dlc <= #1 0;
615
        else
616 37 gorban
                if (start_dlc | ~ (|dlc))
617
                        dlc <= #1 dl - 1;               // preset counter
618
                else
619
                        dlc <= #1 dlc - 1;              // decrement counter
620 29 mohor
end
621
 
622 27 mohor
// Enable signal generation logic
623
always @(posedge clk or posedge wb_rst_i)
624
begin
625
        if (wb_rst_i)
626
                enable <= #1 1'b0;
627
        else
628 37 gorban
                if (|dl & ~(|dlc))     // dl>0 & dlc==0
629
                        enable <= #1 1'b1;
630
                else
631
                        enable <= #1 1'b0;
632 27 mohor
end
633
 
634 37 gorban
//
635
//      INTERRUPT LOGIC
636
//
637 29 mohor
 
638 37 gorban
assign rls_int  = ier[`UART_IE_RLS] && (lsr[`UART_LS_OE] || lsr[`UART_LS_PE] || lsr[`UART_LS_FE] || lsr[`UART_LS_BI]);
639
assign rda_int  = ier[`UART_IE_RDA] && (rf_count >= {1'b0,trigger_level});
640 40 gorban
assign thre_int = ier[`UART_IE_THRE] && lsr[`UART_LS_TFE];
641 37 gorban
assign ms_int   = ier[`UART_IE_MS] && (| msr[3:0]);
642
assign ti_int   = ier[`UART_IE_RDA] && (counter_t == 10'b0);
643 29 mohor
 
644 37 gorban
reg      rls_int_d;
645
reg      thre_int_d;
646
reg      ms_int_d;
647
reg      ti_int_d;
648 45 gorban
reg      rda_int_d;
649 29 mohor
 
650 37 gorban
// delay lines
651
always  @(posedge clk or posedge wb_rst_i)
652
        if (wb_rst_i) rls_int_d <= #1 0;
653
        else rls_int_d <= #1 rls_int;
654 29 mohor
 
655 37 gorban
always  @(posedge clk or posedge wb_rst_i)
656 45 gorban
        if (wb_rst_i) rda_int_d <= #1 0;
657
        else rda_int_d <= #1 rda_int;
658
 
659
always  @(posedge clk or posedge wb_rst_i)
660 37 gorban
        if (wb_rst_i) thre_int_d <= #1 0;
661
        else thre_int_d <= #1 thre_int;
662 29 mohor
 
663 37 gorban
always  @(posedge clk or posedge wb_rst_i)
664
        if (wb_rst_i) ms_int_d <= #1 0;
665
        else ms_int_d <= #1 ms_int;
666 29 mohor
 
667 37 gorban
always  @(posedge clk or posedge wb_rst_i)
668 41 mohor
        if (wb_rst_i) ti_int_d <= #1 0;
669 37 gorban
        else ti_int_d <= #1 ti_int;
670 27 mohor
 
671 37 gorban
// rise detection signals
672
 
673
wire     rls_int_rise;
674
wire     thre_int_rise;
675
wire     ms_int_rise;
676
wire     ti_int_rise;
677 45 gorban
wire     rda_int_rise;
678 37 gorban
 
679 45 gorban
assign rda_int_rise    = rda_int & ~rda_int_d;
680 37 gorban
assign rls_int_rise       = rls_int & ~rls_int_d;
681
assign thre_int_rise   = thre_int & ~thre_int_d;
682
assign ms_int_rise        = ms_int & ~ms_int_d;
683
assign ti_int_rise        = ti_int & ~ti_int_d;
684
 
685
// interrupt pending flags
686
reg     rls_int_pnd;
687 45 gorban
reg     rda_int_pnd;
688 37 gorban
reg     thre_int_pnd;
689
reg     ms_int_pnd;
690
reg     ti_int_pnd;
691
 
692
// interrupt pending flags assignments
693
always  @(posedge clk or posedge wb_rst_i)
694
        if (wb_rst_i) rls_int_pnd <= #1 0;
695
        else
696
                rls_int_pnd <= #1 lsr_mask ? 0 :                                                 // reset condition
697
                                                        rls_int_rise ? 1 :                                              // latch condition
698
                                                        rls_int_pnd && ier[`UART_IE_RLS];       // default operation: remove if masked
699
 
700
always  @(posedge clk or posedge wb_rst_i)
701 45 gorban
        if (wb_rst_i) rda_int_pnd <= #1 0;
702
        else
703
                rda_int_pnd <= #1 ((rf_count == {1'b0,trigger_level}) && fifo_read) ? 0 :        // reset condition
704
                                                        rda_int_rise ? 1 :                                              // latch condition
705
                                                        rda_int_pnd && ier[`UART_IE_RDA];       // default operation: remove if masked
706
 
707
always  @(posedge clk or posedge wb_rst_i)
708 37 gorban
        if (wb_rst_i) thre_int_pnd <= #1 0;
709
        else
710 56 mohor
                thre_int_pnd <= #1 fifo_write || (iir_read & ~iir[`UART_II_IP] & iir[`UART_II_II] == `UART_II_THRE)? 0 :
711 37 gorban
                                                        thre_int_rise ? 1 :
712
                                                        thre_int_pnd && ier[`UART_IE_THRE];
713
 
714
always  @(posedge clk or posedge wb_rst_i)
715
        if (wb_rst_i) ms_int_pnd <= #1 0;
716
        else
717
                ms_int_pnd <= #1 msr_read ? 0 :
718
                                                        ms_int_rise ? 1 :
719
                                                        ms_int_pnd && ier[`UART_IE_MS];
720
 
721
always  @(posedge clk or posedge wb_rst_i)
722 42 mohor
        if (wb_rst_i) ti_int_pnd <= #1 0;
723 37 gorban
        else
724
                ti_int_pnd <= #1 fifo_read ? 0 :
725
                                                        ti_int_rise ? 1 :
726
                                                        ti_int_pnd && ier[`UART_IE_RDA];
727
// end of pending flags
728
 
729
// INT_O logic
730 27 mohor
always @(posedge clk or posedge wb_rst_i)
731
begin
732
        if (wb_rst_i)
733
                int_o <= #1 1'b0;
734
        else
735 37 gorban
                int_o <= #1
736
                                        rls_int_pnd             ?       ~lsr_mask                                       :
737 45 gorban
                                        rda_int_pnd             ? 1                                                             :
738 37 gorban
                                        ti_int_pnd              ? ~fifo_read                                    :
739 45 gorban
                                        thre_int_pnd    ? !(fifo_write & iir_read) :
740 37 gorban
                                        ms_int_pnd              ? ~msr_read                                             :
741
                                        0;       // if no interrupt are pending
742 27 mohor
end
743
 
744
 
745
// Interrupt Identification register
746
always @(posedge clk or posedge wb_rst_i)
747
begin
748
        if (wb_rst_i)
749
                iir <= #1 1;
750
        else
751 37 gorban
        if (rls_int_pnd)  // interrupt is pending
752 27 mohor
        begin
753
                iir[`UART_II_II] <= #1 `UART_II_RLS;    // set identification register to correct value
754
                iir[`UART_II_IP] <= #1 1'b0;            // and clear the IIR bit 0 (interrupt pending)
755 37 gorban
        end else // the sequence of conditions determines priority of interrupt identification
756 27 mohor
        if (rda_int)
757
        begin
758
                iir[`UART_II_II] <= #1 `UART_II_RDA;
759
                iir[`UART_II_IP] <= #1 1'b0;
760
        end
761 37 gorban
        else if (ti_int_pnd)
762 27 mohor
        begin
763
                iir[`UART_II_II] <= #1 `UART_II_TI;
764
                iir[`UART_II_IP] <= #1 1'b0;
765
        end
766 37 gorban
        else if (thre_int_pnd)
767 27 mohor
        begin
768
                iir[`UART_II_II] <= #1 `UART_II_THRE;
769
                iir[`UART_II_IP] <= #1 1'b0;
770
        end
771 37 gorban
        else if (ms_int_pnd)
772 27 mohor
        begin
773
                iir[`UART_II_II] <= #1 `UART_II_MS;
774
                iir[`UART_II_IP] <= #1 1'b0;
775 37 gorban
        end else        // no interrupt is pending
776 27 mohor
        begin
777 40 gorban
                iir[`UART_II_II] <= #1 0;
778 27 mohor
                iir[`UART_II_IP] <= #1 1'b1;
779
        end
780
end
781
 
782
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.