OpenCores
URL https://opencores.org/ocsvn/uart16550/uart16550/trunk

Subversion Repositories uart16550

[/] [uart16550/] [trunk/] [rtl/] [verilog/] [uart_rfifo.v] - Blame information for rev 79

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 79 gorban
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  uart_rfifo.v (Modified from uart_fifo.v)                    ////
4
////                                                              ////
5
////                                                              ////
6
////  This file is part of the "UART 16550 compatible" project    ////
7
////  http://www.opencores.org/cores/uart16550/                   ////
8
////                                                              ////
9
////  Documentation related to this project:                      ////
10
////  - http://www.opencores.org/cores/uart16550/                 ////
11
////                                                              ////
12
////  Projects compatibility:                                     ////
13
////  - WISHBONE                                                  ////
14
////  RS232 Protocol                                              ////
15
////  16550D uart (mostly supported)                              ////
16
////                                                              ////
17
////  Overview (main Features):                                   ////
18
////  UART core receiver FIFO                                     ////
19
////                                                              ////
20
////  To Do:                                                      ////
21
////  Nothing.                                                    ////
22
////                                                              ////
23
////  Author(s):                                                  ////
24
////      - gorban@opencores.org                                  ////
25
////      - Jacob Gorban                                          ////
26
////      - Igor Mohor (igorm@opencores.org)                      ////
27
////                                                              ////
28
////  Created:        2001/05/12                                  ////
29
////  Last Updated:   2002/07/22                                  ////
30
////                  (See log for the revision history)          ////
31
////                                                              ////
32
////                                                              ////
33
//////////////////////////////////////////////////////////////////////
34
////                                                              ////
35
//// Copyright (C) 2000, 2001 Authors                             ////
36
////                                                              ////
37
//// This source file may be used and distributed without         ////
38
//// restriction provided that this copyright statement is not    ////
39
//// removed from the file and that any derivative work contains  ////
40
//// the original copyright notice and the associated disclaimer. ////
41
////                                                              ////
42
//// This source file is free software; you can redistribute it   ////
43
//// and/or modify it under the terms of the GNU Lesser General   ////
44
//// Public License as published by the Free Software Foundation; ////
45
//// either version 2.1 of the License, or (at your option) any   ////
46
//// later version.                                               ////
47
////                                                              ////
48
//// This source is distributed in the hope that it will be       ////
49
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
50
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
51
//// PURPOSE.  See the GNU Lesser General Public License for more ////
52
//// details.                                                     ////
53
////                                                              ////
54
//// You should have received a copy of the GNU Lesser General    ////
55
//// Public License along with this source; if not, download it   ////
56
//// from http://www.opencores.org/lgpl.shtml                     ////
57
////                                                              ////
58
//////////////////////////////////////////////////////////////////////
59
//
60
// CVS Revision History
61
//
62
// $Log: not supported by cvs2svn $
63
// Revision 1.16  2001/12/20 13:25:46  mohor
64
// rx push changed to be only one cycle wide.
65
//
66
// Revision 1.15  2001/12/18 09:01:07  mohor
67
// Bug that was entered in the last update fixed (rx state machine).
68
//
69
// Revision 1.14  2001/12/17 14:46:48  mohor
70
// overrun signal was moved to separate block because many sequential lsr
71
// reads were preventing data from being written to rx fifo.
72
// underrun signal was not used and was removed from the project.
73
//
74
// Revision 1.13  2001/11/26 21:38:54  gorban
75
// Lots of fixes:
76
// Break condition wasn't handled correctly at all.
77
// LSR bits could lose their values.
78
// LSR value after reset was wrong.
79
// Timing of THRE interrupt signal corrected.
80
// LSR bit 0 timing corrected.
81
//
82
// Revision 1.12  2001/11/08 14:54:23  mohor
83
// Comments in Slovene language deleted, few small fixes for better work of
84
// old tools. IRQs need to be fix.
85
//
86
// Revision 1.11  2001/11/07 17:51:52  gorban
87
// Heavily rewritten interrupt and LSR subsystems.
88
// Many bugs hopefully squashed.
89
//
90
// Revision 1.10  2001/10/20 09:58:40  gorban
91
// Small synopsis fixes
92
//
93
// Revision 1.9  2001/08/24 21:01:12  mohor
94
// Things connected to parity changed.
95
// Clock devider changed.
96
//
97
// Revision 1.8  2001/08/24 08:48:10  mohor
98
// FIFO was not cleared after the data was read bug fixed.
99
//
100
// Revision 1.7  2001/08/23 16:05:05  mohor
101
// Stop bit bug fixed.
102
// Parity bug fixed.
103
// WISHBONE read cycle bug fixed,
104
// OE indicator (Overrun Error) bug fixed.
105
// PE indicator (Parity Error) bug fixed.
106
// Register read bug fixed.
107
//
108
// Revision 1.3  2001/05/31 20:08:01  gorban
109
// FIFO changes and other corrections.
110
//
111
// Revision 1.3  2001/05/27 17:37:48  gorban
112
// Fixed many bugs. Updated spec. Changed FIFO files structure. See CHANGES.txt file.
113
//
114
// Revision 1.2  2001/05/17 18:34:18  gorban
115
// First 'stable' release. Should be sythesizable now. Also added new header.
116
//
117
// Revision 1.0  2001-05-17 21:27:12+02  jacob
118
// Initial revision
119
//
120
//
121
 
122
// synopsys translate_off
123
`include "timescale.v"
124
// synopsys translate_on
125
 
126
//`include "uart_defines.v"
127
 
128
module uart_rfifo (clk,
129
        wb_rst_i, data_in, data_out,
130
// Control signals
131
        push, // push strobe, active high
132
        pop,   // pop strobe, active high
133
// status signals
134
        overrun,
135
        count,
136
        error_bit,
137
        fifo_reset,
138
        reset_status
139
        );
140
 
141
 
142
// FIFO parameters
143
parameter fifo_width = `UART_FIFO_WIDTH;
144
parameter fifo_depth = `UART_FIFO_DEPTH;
145
parameter fifo_pointer_w = `UART_FIFO_POINTER_W;
146
parameter fifo_counter_w = `UART_FIFO_COUNTER_W;
147
 
148
input                           clk;
149
input                           wb_rst_i;
150
input                           push;
151
input                           pop;
152
input   [fifo_width-1:0] data_in;
153
input                           fifo_reset;
154
input       reset_status;
155
 
156
output  [fifo_width-1:0] data_out;
157
output                          overrun;
158
output  [fifo_counter_w-1:0]     count;
159
output                          error_bit;
160
 
161
wire    [fifo_width-1:0] data_out;
162
wire [7:0] data8_out;
163
// flags FIFO
164
reg     [2:0]    fifo[fifo_depth-1:0];
165
 
166
// FIFO pointers
167
reg     [fifo_pointer_w-1:0]     top;
168
reg     [fifo_pointer_w-1:0]     bottom;
169
 
170
reg     [fifo_counter_w-1:0]     count;
171
reg                             overrun;
172
 
173
wire [fifo_pointer_w-1:0] top_plus_1 = top + 1'b1;
174
 
175
raminfr #(fifo_pointer_w,8,fifo_depth) rfifo
176
        (.clk(clk),
177
                        .we(push),
178
                        .a(top),
179
                        .dpra(bottom),
180
                        .di(data_in[fifo_width-1:fifo_width-8]),
181
                        .dpo(data8_out)
182
                );
183
 
184
always @(posedge clk or posedge wb_rst_i) // synchronous FIFO
185
begin
186
        if (wb_rst_i)
187
        begin
188
                top             <= #1 0;
189
                bottom          <= #1 1'b0;
190
                count           <= #1 0;
191
        end
192
        else
193
        if (fifo_reset) begin
194
                top             <= #1 0;
195
                bottom          <= #1 1'b0;
196
                count           <= #1 0;
197
        end
198
  else
199
        begin
200
                case ({push, pop})
201
                2'b10 : if (count<fifo_depth)  // overrun condition
202
                        begin
203
                                top       <= #1 top_plus_1;
204
                                fifo[top] <= #1 data_in[2:0];
205
                                count     <= #1 count + 1'b1;
206
                        end
207
                2'b01 : if(count>0)
208
                        begin
209
        fifo[bottom] <= #1 0;
210
                                bottom   <= #1 bottom + 1'b1;
211
                                count    <= #1 count - 1'b1;
212
                        end
213
                2'b11 : begin
214
        fifo[bottom] <= #1 0;
215
                                bottom   <= #1 bottom + 1'b1;
216
                                top       <= #1 top_plus_1;
217
                                fifo[top] <= #1 data_in[2:0];
218
                        end
219
    default: ;
220
                endcase
221
        end
222
end   // always
223
 
224
always @(posedge clk or posedge wb_rst_i) // synchronous FIFO
225
begin
226
  if (wb_rst_i)
227
    overrun   <= #1 1'b0;
228
  else
229
  if(fifo_reset | reset_status)
230
    overrun   <= #1 1'b0;
231
  else
232
  if(push & (count==fifo_depth))
233
    overrun   <= #1 1'b1;
234
end   // always
235
 
236
 
237
// please note though that data_out is only valid one clock after pop signal
238
assign data_out = {data8_out,fifo[bottom]};
239
 
240
// Additional logic for detection of error conditions (parity and framing) inside the FIFO
241
// for the Line Status Register bit 7
242
 
243
wire    [2:0]    word0 = fifo[0];
244
wire    [2:0]    word1 = fifo[1];
245
wire    [2:0]    word2 = fifo[2];
246
wire    [2:0]    word3 = fifo[3];
247
wire    [2:0]    word4 = fifo[4];
248
wire    [2:0]    word5 = fifo[5];
249
wire    [2:0]    word6 = fifo[6];
250
wire    [2:0]    word7 = fifo[7];
251
 
252
wire    [2:0]    word8 = fifo[8];
253
wire    [2:0]    word9 = fifo[9];
254
wire    [2:0]    word10 = fifo[10];
255
wire    [2:0]    word11 = fifo[11];
256
wire    [2:0]    word12 = fifo[12];
257
wire    [2:0]    word13 = fifo[13];
258
wire    [2:0]    word14 = fifo[14];
259
wire    [2:0]    word15 = fifo[15];
260
 
261
// a 1 is returned if any of the error bits in the fifo is 1
262
assign  error_bit = |(word0[2:0]  | word1[2:0]  | word2[2:0]  | word3[2:0]  |
263
                              word4[2:0]  | word5[2:0]  | word6[2:0]  | word7[2:0]  |
264
                              word8[2:0]  | word9[2:0]  | word10[2:0] | word11[2:0] |
265
                              word12[2:0] | word13[2:0] | word14[2:0] | word15[2:0] );
266
 
267
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.