OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [docs/] [doxygenDocs/] [html/] [uart__control_8vhd_source.html] - Blame information for rev 40

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 40 leonardoar
<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
2
<html xmlns="http://www.w3.org/1999/xhtml">
3
<head>
4
<meta http-equiv="Content-Type" content="text/xhtml;charset=UTF-8"/>
5
<meta http-equiv="X-UA-Compatible" content="IE=9"/>
6
<title>Uart wishbone slave Documentation: E:/uart_block/hdl/iseProject/uart_control.vhd Source File</title>
7
 
8
<link href="tabs.css" rel="stylesheet" type="text/css"/>
9
<link href="doxygen.css" rel="stylesheet" type="text/css" />
10
<link href="navtree.css" rel="stylesheet" type="text/css"/>
11
<script type="text/javascript" src="jquery.js"></script>
12
<script type="text/javascript" src="resize.js"></script>
13
<script type="text/javascript" src="navtree.js"></script>
14
<script type="text/javascript">
15
  $(document).ready(initResizable);
16
</script>
17
<link href="search/search.css" rel="stylesheet" type="text/css"/>
18
<script type="text/javascript" src="search/search.js"></script>
19
<script type="text/javascript">
20
  $(document).ready(function() { searchBox.OnSelectItem(0); });
21
</script>
22
 
23
</head>
24
<body>
25
<div id="top"><!-- do not remove this div! -->
26
 
27
 
28
<div id="titlearea">
29
<table cellspacing="0" cellpadding="0">
30
 <tbody>
31
 <tr style="height: 56px;">
32
 
33
 
34
  <td style="padding-left: 0.5em;">
35
   <div id="projectname">Uart wishbone slave Documentation
36
 
37
   </div>
38
 
39
  </td>
40
 
41
 
42
 
43
 </tr>
44
 </tbody>
45
</table>
46
</div>
47
 
48
<!-- Generated by Doxygen 1.8.0 -->
49
<script type="text/javascript">
50
var searchBox = new SearchBox("searchBox", "search",false,'Search');
51
</script>
52
  <div id="navrow1" class="tabs">
53
    <ul class="tablist">
54
      <li><a href="index.html"><span>Main&#160;Page</span></a></li>
55
      <li><a href="namespaces.html"><span>Packages</span></a></li>
56
      <li><a href="annotated.html"><span>Design&#160;Unit&#160;List</span></a></li>
57
      <li class="current"><a href="files.html"><span>Files</span></a></li>
58
      <li>
59
        <div id="MSearchBox" class="MSearchBoxInactive">
60
        <span class="left">
61
          <img id="MSearchSelect" src="search/mag_sel.png"
62
               onmouseover="return searchBox.OnSearchSelectShow()"
63
               onmouseout="return searchBox.OnSearchSelectHide()"
64
               alt=""/>
65
          <input type="text" id="MSearchField" value="Search" accesskey="S"
66
               onfocus="searchBox.OnSearchFieldFocus(true)"
67
               onblur="searchBox.OnSearchFieldFocus(false)"
68
               onkeyup="searchBox.OnSearchFieldChange(event)"/>
69
          </span><span class="right">
70
            <a id="MSearchClose" href="javascript:searchBox.CloseResultsWindow()"><img id="MSearchCloseImg" border="0" src="search/close.png" alt=""/></a>
71
          </span>
72
        </div>
73
      </li>
74
    </ul>
75
  </div>
76
  <div id="navrow2" class="tabs2">
77
    <ul class="tablist">
78
      <li><a href="files.html"><span>File&#160;List</span></a></li>
79
    </ul>
80
  </div>
81
</div>
82
<div id="side-nav" class="ui-resizable side-nav-resizable">
83
  <div id="nav-tree">
84
    <div id="nav-tree-contents">
85
    </div>
86
  </div>
87
  <div id="splitbar" style="-moz-user-select:none;"
88
       class="ui-resizable-handle">
89
  </div>
90
</div>
91
<script type="text/javascript">
92
  initNavTree('uart__control_8vhd.html','');
93
</script>
94
<div id="doc-content">
95
<!-- window showing the filter options -->
96
<div id="MSearchSelectWindow"
97
     onmouseover="return searchBox.OnSearchSelectShow()"
98
     onmouseout="return searchBox.OnSearchSelectHide()"
99
     onkeydown="return searchBox.OnSearchSelectKey(event)">
100
<a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(0)"><span class="SelectionMark">&#160;</span>All</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(1)"><span class="SelectionMark">&#160;</span>Classes</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(2)"><span class="SelectionMark">&#160;</span>Namespaces</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(3)"><span class="SelectionMark">&#160;</span>Files</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(4)"><span class="SelectionMark">&#160;</span>Variables</a></div>
101
 
102
<!-- iframe showing the search results (closed by default) -->
103
<div id="MSearchResultsWindow">
104
<iframe src="javascript:void(0)" frameborder="0"
105
        name="MSearchResults" id="MSearchResults">
106
</iframe>
107
</div>
108
 
109
<div class="header">
110
  <div class="headertitle">
111
<div class="title">E:/uart_block/hdl/iseProject/uart_control.vhd</div>  </div>
112
</div><!--header-->
113
<div class="contents">
114
<a href="uart__control_8vhd.html">Go to the documentation of this file.</a><div class="fragment"><pre class="fragment"><a name="l00001"></a>00001
115
<a name="l00003"></a>00003 <span class="vhdlkeyword">library </span><span class="keywordflow">IEEE</span>;
116
<a name="l00004"></a>00004 <span class="vhdlkeyword">use </span>IEEE.STD_LOGIC_1164.<span class="vhdlkeyword">ALL</span>;
117
<a name="l00005"></a>00005 <span class="vhdlkeyword">use </span>ieee.std_logic_unsigned.<span class="vhdlkeyword">all</span>;
118
<a name="l00006"></a>00006 <span class="vhdlkeyword">use </span>ieee.std_logic_arith.<span class="vhdlkeyword">all</span>;
119
<a name="l00007"></a>00007
120
<a name="l00009"></a><a class="code" href="classuart__control.html#ac442dca664056131bdaf5c92e4351e01">00009</a> <span class="vhdlkeyword">use </span>work.pkgDefinitions.<span class="vhdlkeyword">all</span>;
121
<a name="l00010"></a>00010
122
<a name="l00011"></a><a class="code" href="classuart__control.html">00011</a> <span class="keywordflow">entity </span><a class="code" href="classuart__control.html">uart_control</a> <span class="vhdlkeyword">is</span>
123
<a name="l00012"></a><a class="code" href="classuart__control.html#a9d3a5df2e98b99b950613d125404f7e7">00012</a>     <span class="vhdlkeyword">Port</span> <span class="vhdlchar">(</span> <span class="vhdlchar"><a class="code" href="classuart__control.html#a9d3a5df2e98b99b950613d125404f7e7" title="Global reset.">rst</a></span> <span class="vhdlchar">:</span> <span class="vhdlkeyword">in</span>  <span class="comment">std_logic</span>;
124
<a name="l00013"></a><a class="code" href="classuart__control.html#aaa012193baea07aae07ac241afc38d4e">00013</a>            <span class="vhdlchar"><a class="code" href="classuart__control.html#aaa012193baea07aae07ac241afc38d4e" title="Global clock.">clk</a></span> <span class="vhdlchar">:</span> <span class="vhdlkeyword">in</span>  <span class="comment">std_logic</span>;
125
<a name="l00014"></a><a class="code" href="classuart__control.html#a5d0f1fd17d4ada84491cbbcdff7bd59c">00014</a>                           <span class="vhdlchar"><a class="code" href="classuart__control.html#a5d0f1fd17d4ada84491cbbcdff7bd59c" title="Write enable.">WE</a></span>    <span class="vhdlchar">:</span> <span class="vhdlkeyword">in</span> <span class="comment">std_logic</span>;
126
<a name="l00015"></a><a class="code" href="classuart__control.html#a26488fd3af03df7e52e89685254581d9">00015</a>            reg_addr : <span class="vhdlkeyword">in</span>  <span class="comment">std_logic_vector</span> (<span class="vhdllogic">1</span> <span class="vhdlkeyword">downto</span> <span class="vhdllogic">0</span>);                                                --! <span class="vhdlkeyword">Register</span> address
127
<a name="l00016"></a><a class="code" href="classuart__control.html#ae66e1f3b5a7b302a165fd87d2ebf8008">00016</a>                           <span class="vhdlchar"><a class="code" href="classuart__control.html#ae66e1f3b5a7b302a165fd87d2ebf8008" title="Start (Strobe)">start</a></span> <span class="vhdlchar">:</span> <span class="vhdlkeyword">in</span> <span class="comment">std_logic</span>;
128
<a name="l00017"></a><a class="code" href="classuart__control.html#a4772fc34e10751f941e00c7f532d3a51">00017</a>                           <span class="vhdlchar"><a class="code" href="classuart__control.html#a4772fc34e10751f941e00c7f532d3a51" title="Done (ACK)">done</a></span> <span class="vhdlchar">:</span> <span class="vhdlkeyword">out</span> <span class="comment">std_logic</span>;
129
<a name="l00018"></a><a class="code" href="classuart__control.html#a6a4e14f575e5b97e6af7829108a9cdb6">00018</a>            <span class="vhdlchar"><a class="code" href="classuart__control.html#a6a4e14f575e5b97e6af7829108a9cdb6" title="Data Input (Wishbone)">DAT_I</a></span> <span class="vhdlchar">:</span> <span class="vhdlkeyword">in</span>  <span class="comment">std_logic_vector</span> <span class="vhdlchar">(</span><span class="vhdlchar">(</span><span class="vhdlchar">nBitsLarge</span><span class="vhdlchar">-</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">)</span> <span class="vhdlkeyword">downto</span> <span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">)</span>;
130
<a name="l00019"></a><a class="code" href="classuart__control.html#aa0441f210e0ee245a9ee654ee0ecb105">00019</a>            <span class="vhdlchar"><a class="code" href="classuart__control.html#aa0441f210e0ee245a9ee654ee0ecb105" title="Data output (Wishbone)">DAT_O</a></span> <span class="vhdlchar">:</span> <span class="vhdlkeyword">out</span>  <span class="comment">std_logic_vector</span> <span class="vhdlchar">(</span><span class="vhdlchar">(</span><span class="vhdlchar">nBitsLarge</span><span class="vhdlchar">-</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">)</span> <span class="vhdlkeyword">downto</span> <span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">)</span>;
131
<a name="l00020"></a><a class="code" href="classuart__control.html#ad78e0a527c5f5c8c3ffa83c438b6f61f">00020</a>                           <span class="vhdlchar"><a class="code" href="classuart__control.html#ad78e0a527c5f5c8c3ffa83c438b6f61f" title="Signal to control the baud rate frequency.">baud_wait</a></span> <span class="vhdlchar">:</span> <span class="vhdlkeyword">out</span> <span class="comment">std_logic_vector</span> <span class="vhdlchar">(</span><span class="vhdlchar">(</span><span class="vhdlchar">nBitsLarge</span><span class="vhdlchar">-</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">)</span> <span class="vhdlkeyword">downto</span> <span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">)</span>;
132
<a name="l00021"></a><a class="code" href="classuart__control.html#af5fbd616289aa28ef674937c72548374">00021</a>                           <span class="vhdlchar"><a class="code" href="classuart__control.html#af5fbd616289aa28ef674937c72548374" title="1 Byte to be send to serial_transmitter">data_byte_tx</a></span> <span class="vhdlchar">:</span> <span class="vhdlkeyword">out</span> <span class="comment">std_logic_vector</span><span class="vhdlchar">(</span><span class="vhdlchar">(</span><span class="vhdlchar">nBits</span><span class="vhdlchar">-</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">)</span> <span class="vhdlkeyword">downto</span> <span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">)</span>;
133
<a name="l00022"></a><a class="code" href="classuart__control.html#a938ea181dcf736513f3743dcf22dbf85">00022</a>                           <span class="vhdlchar"><a class="code" href="classuart__control.html#a938ea181dcf736513f3743dcf22dbf85" title="1 Byte to be received by serial_receiver">data_byte_rx</a></span> <span class="vhdlchar">:</span> <span class="vhdlkeyword">in</span> <span class="comment">std_logic_vector</span><span class="vhdlchar">(</span><span class="vhdlchar">(</span><span class="vhdlchar">nBits</span><span class="vhdlchar">-</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">)</span> <span class="vhdlkeyword">downto</span> <span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">)</span>;
134
<a name="l00023"></a><a class="code" href="classuart__control.html#af90e032a76aef85021ee288bbec12e11">00023</a>            <span class="vhdlchar"><a class="code" href="classuart__control.html#af90e032a76aef85021ee288bbec12e11" title="Signal comming from serial_transmitter.">tx_data_sent</a></span> <span class="vhdlchar">:</span> <span class="vhdlkeyword">in</span>  <span class="comment">std_logic</span>;
135
<a name="l00024"></a><a class="code" href="classuart__control.html#ac8df6578912d098bdb9f21cb0509fb63">00024</a>                           <span class="vhdlchar"><a class="code" href="classuart__control.html#ac8df6578912d098bdb9f21cb0509fb63" title="Signal to start sending serial data...">tx_start</a></span> <span class="vhdlchar">:</span> <span class="vhdlkeyword">out</span> <span class="comment">std_logic</span>;
136
<a name="l00025"></a><a class="code" href="classuart__control.html#a665e6854e6570b00bd7d35db2049f54f">00025</a>                           <span class="vhdlchar"><a class="code" href="classuart__control.html#a665e6854e6570b00bd7d35db2049f54f" title="Reset Communication blocks.">rst_comm_blocks</a></span> <span class="vhdlchar">:</span> <span class="vhdlkeyword">out</span> <span class="comment">std_logic</span>;
137
<a name="l00026"></a><a class="code" href="classuart__control.html#a0f38cbc4316d4bba03252027fe0fabc7">00026</a>            <span class="vhdlchar"><a class="code" href="classuart__control.html#a0f38cbc4316d4bba03252027fe0fabc7" title="Signal comming from serial_receiver.">rx_data_ready</a></span> <span class="vhdlchar">:</span> <span class="vhdlkeyword">in</span>  <span class="comment">std_logic</span><span class="vhdlchar">)</span>;
138
<a name="l00027"></a>00027 <span class="vhdlkeyword">end</span> <span class="vhdlchar">uart_control</span>;
139
<a name="l00028"></a>00028
140
<a name="l00031"></a><a class="code" href="classuart__control_1_1_behavioral.html">00031</a> <span class="vhdlkeyword">architecture</span> Behavioral <span class="vhdlkeyword">of</span> <a class="code" href="classuart__control.html">uart_control</a> is
141
<a name="l00032"></a>00032 <span class="vhdlkeyword">signal</span> <span class="vhdlchar">config_clk</span> <span class="vhdlchar">:</span> <span class="comment">std_logic_vector</span><span class="vhdlchar">(</span><span class="vhdlchar">(</span><span class="vhdlchar">nBitsLarge</span><span class="vhdlchar">-</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">)</span> <span class="vhdlkeyword">downto</span> <span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">)</span>;
142
<a name="l00033"></a>00033 <span class="vhdlkeyword">signal</span> <span class="vhdlchar">config_baud</span> <span class="vhdlchar">:</span> <span class="comment">std_logic_vector</span><span class="vhdlchar">(</span><span class="vhdlchar">(</span><span class="vhdlchar">nBitsLarge</span><span class="vhdlchar">-</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">)</span> <span class="vhdlkeyword">downto</span> <span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">)</span>;
143
<a name="l00034"></a>00034 <span class="vhdlkeyword">signal</span> <span class="vhdlchar">received_byte</span> <span class="vhdlchar">:</span> <span class="comment">std_logic_vector</span><span class="vhdlchar">(</span><span class="vhdlchar">(</span><span class="vhdlchar">nBits</span><span class="vhdlchar">-</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">)</span> <span class="vhdlkeyword">downto</span> <span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">)</span>;
144
<a name="l00035"></a>00035 <span class="vhdlkeyword">signal</span> <span class="vhdlchar">byte_to_transmit</span> <span class="vhdlchar">:</span> <span class="comment">std_logic_vector</span><span class="vhdlchar">(</span><span class="vhdlchar">(</span><span class="vhdlchar">nBits</span><span class="vhdlchar">-</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">)</span> <span class="vhdlkeyword">downto</span> <span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">)</span>;
145
<a name="l00036"></a>00036
146
<a name="l00037"></a>00037 <span class="vhdlkeyword">signal</span> <span class="vhdlchar">sigDivRst</span> <span class="vhdlchar">:</span> <span class="comment">std_logic</span>;
147
<a name="l00038"></a>00038 <span class="vhdlkeyword">signal</span> <span class="vhdlchar">sigDivDone</span> <span class="vhdlchar">:</span> <span class="comment">std_logic</span>;
148
<a name="l00039"></a>00039 <span class="vhdlkeyword">signal</span> <span class="vhdlchar">sigDivQuotient</span> <span class="vhdlchar">:</span> <span class="comment">std_logic_vector</span><span class="vhdlchar">(</span><span class="vhdlchar">(</span><span class="vhdlchar">nBitsLarge</span><span class="vhdlchar">-</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">)</span> <span class="vhdlkeyword">downto</span> <span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">)</span>;
149
<a name="l00040"></a>00040 <span class="vhdlkeyword">signal</span> <span class="vhdlchar">sigDivNumerator</span> <span class="vhdlchar">:</span> <span class="comment">std_logic_vector</span><span class="vhdlchar">(</span><span class="vhdlchar">(</span><span class="vhdlchar">nBitsLarge</span><span class="vhdlchar">-</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">)</span> <span class="vhdlkeyword">downto</span> <span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">)</span>;
150
<a name="l00041"></a>00041 <span class="vhdlkeyword">signal</span> <span class="vhdlchar">sigDivDividend</span> <span class="vhdlchar">:</span> <span class="comment">std_logic_vector</span><span class="vhdlchar">(</span><span class="vhdlchar">(</span><span class="vhdlchar">nBitsLarge</span><span class="vhdlchar">-</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">)</span> <span class="vhdlkeyword">downto</span> <span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">)</span>;
151
<a name="l00042"></a>00042 <span class="keyword"></span>
152
<a name="l00043"></a>00043 <span class="keyword">-- Signals used <span class="vhdlkeyword">to</span> control the <span class="vhdlkeyword">configuration</span></span>
153
<a name="l00044"></a>00044 <span class="vhdlkeyword">signal</span> <span class="vhdlchar">startConfigBaud</span> <span class="vhdlchar">:</span> <span class="comment">std_logic</span>;
154
<a name="l00045"></a>00045 <span class="vhdlkeyword">signal</span> <span class="vhdlchar">startConfigClk</span> <span class="vhdlchar">:</span> <span class="comment">std_logic</span>;
155
<a name="l00046"></a>00046 <span class="vhdlkeyword">signal</span> <span class="vhdlchar">startDataSend</span> <span class="vhdlchar">:</span> <span class="comment">std_logic</span>;
156
<a name="l00047"></a>00047 <span class="vhdlkeyword">signal</span> <span class="vhdlchar">commBlocksInitiated</span> <span class="vhdlchar">:</span> <span class="comment">std_logic</span>;
157
<a name="l00048"></a>00048 <span class="vhdlkeyword">signal</span> <span class="vhdlchar">startReadReg</span> <span class="vhdlchar">:</span> <span class="comment">std_logic</span>;
158
<a name="l00049"></a>00049 <span class="vhdlkeyword">signal</span> <span class="vhdlchar">alreadyConfBaud</span> <span class="vhdlchar">:</span> <span class="comment">std_logic</span>;
159
<a name="l00050"></a>00050 <span class="vhdlkeyword">signal</span> <span class="vhdlchar">alreadyConfClk</span> <span class="vhdlchar">:</span> <span class="comment">std_logic</span>;
160
<a name="l00051"></a>00051 <span class="keyword"></span>
161
<a name="l00052"></a>00052 <span class="keyword">-- Divisor <span class="vhdlkeyword">component</span></span>
162
<a name="l00053"></a>00053 <span class="vhdlkeyword">component</span> <a class="code" href="classdivisor.html">divisor</a> <span class="vhdlkeyword">is</span>
163
<a name="l00054"></a>00054     <span class="vhdlkeyword">Port</span> ( <a class="code" href="classdivisor.html#a0ddd7f10f240eabbaa5f593dc724676d" title="Reset input.">rst</a> : <span class="vhdlkeyword">in</span>  <span class="comment">STD_LOGIC</span>;
164
<a name="l00055"></a>00055            <a class="code" href="classdivisor.html#afccc0679a700cd9acf53b87c41fee67a" title="Clock input.">clk</a> : <span class="vhdlkeyword">in</span>  <span class="comment">STD_LOGIC</span>;
165
<a name="l00056"></a>00056            <a class="code" href="classdivisor.html#a72b864bee7e5df9aaa6663e15717ee2a" title="Division result (32 bits)">quotient</a> : <span class="vhdlkeyword">out</span>  <span class="comment">STD_LOGIC_VECTOR</span> ((nBitsLarge<span class="vhdlchar">-</span><span class="vhdllogic"></span><span class="vhdllogic">1</span>) <span class="vhdlkeyword">downto</span> <span class="vhdllogic"></span><span class="vhdllogic">0</span>);
166
<a name="l00057"></a>00057                           <a class="code" href="classdivisor.html#a2e2b27233f56bb5217044913043942fa" title="Reminder result (32 bits)">reminder</a> : <span class="vhdlkeyword">out</span>  <span class="comment">STD_LOGIC_VECTOR</span> ((nBitsLarge<span class="vhdlchar">-</span><span class="vhdllogic"></span><span class="vhdllogic">1</span>) <span class="vhdlkeyword">downto</span> <span class="vhdllogic"></span><span class="vhdllogic">0</span>);
167
<a name="l00058"></a>00058            <a class="code" href="classdivisor.html#ad29d3fb6c6ea697db492c43d4a3630eb" title="Numerator (32 bits)">numerator</a> : <span class="vhdlkeyword">in</span>  <span class="comment">STD_LOGIC_VECTOR</span> ((nBitsLarge<span class="vhdlchar">-</span><span class="vhdllogic"></span><span class="vhdllogic">1</span>) <span class="vhdlkeyword">downto</span> <span class="vhdllogic"></span><span class="vhdllogic">0</span>);
168
<a name="l00059"></a>00059            <a class="code" href="classdivisor.html#a125151d21c7a62bc99907ddc72a7ebb1" title="&quot;Divide by&quot; number (32 bits)">divident</a> : <span class="vhdlkeyword">in</span>  <span class="comment">STD_LOGIC_VECTOR</span> ((nBitsLarge<span class="vhdlchar">-</span><span class="vhdllogic"></span><span class="vhdllogic">1</span>) <span class="vhdlkeyword">downto</span> <span class="vhdllogic"></span><span class="vhdllogic">0</span>);
169
<a name="l00060"></a>00060            done : <span class="vhdlkeyword">out</span>  <span class="comment">STD_LOGIC</span>);
170
<a name="l00061"></a>00061 <span class="vhdlkeyword">end</span> <span class="vhdlkeyword">component</span>;
171
<a name="l00062"></a>00062
172
<a name="l00063"></a>00063 <span class="vhdlkeyword">begin</span>
173
<a name="l00065"></a>00065         uDiv : <a class="code" href="classdivisor.html">divisor</a> <span class="vhdlkeyword">port</span> <span class="vhdlkeyword">map</span> (
174
<a name="l00066"></a>00066                 <a class="code" href="classdivisor.html#a0ddd7f10f240eabbaa5f593dc724676d" title="Reset input.">rst</a> =&gt; sigDivRst,
175
<a name="l00067"></a>00067                 <a class="code" href="classdivisor.html#afccc0679a700cd9acf53b87c41fee67a" title="Clock input.">clk</a> =&gt; <a class="code" href="classuart__control.html#aaa012193baea07aae07ac241afc38d4e" title="Global clock.">clk</a> ,
176
<a name="l00068"></a>00068                 <a class="code" href="classdivisor.html#a72b864bee7e5df9aaa6663e15717ee2a" title="Division result (32 bits)">quotient</a> =&gt; sigDivQuotient,
177
<a name="l00069"></a>00069                 <a class="code" href="classdivisor.html#a2e2b27233f56bb5217044913043942fa" title="Reminder result (32 bits)">reminder</a> =&gt; <span class="vhdlkeyword">open</span>,<span class="keyword">       -- Indicates that this <span class="vhdlkeyword">port</span> will <span class="vhdlkeyword">not</span> be connected <span class="vhdlkeyword">to</span> anything</span>
178
<a name="l00070"></a>00070                 <a class="code" href="classdivisor.html#ad29d3fb6c6ea697db492c43d4a3630eb" title="Numerator (32 bits)">numerator</a> =&gt; sigDivNumerator,
179
<a name="l00071"></a>00071                 <a class="code" href="classdivisor.html#a125151d21c7a62bc99907ddc72a7ebb1" title="&quot;Divide by&quot; number (32 bits)">divident</a> =&gt; sigDivDividend,
180
<a name="l00072"></a>00072                 done =&gt; sigDivDone
181
<a name="l00073"></a>00073         <span class="vhdlchar">)</span>;
182
<a name="l00074"></a>00074         <span class="keyword"></span>
183
<a name="l00075"></a>00075 <span class="keyword">        -- <span class="vhdlkeyword">Process</span> <span class="vhdlkeyword">to</span> handle the <span class="vhdlkeyword">of</span> writting the registers</span>
184
<a name="l00076"></a>00076         <span class="vhdlkeyword">process</span> (<a class="code" href="classuart__control.html#aaa012193baea07aae07ac241afc38d4e" title="Global clock.">clk</a>)
185
<a name="l00077"></a>00077 <span class="vhdlkeyword">        begin</span><span class="keyword"></span>
186
<a name="l00078"></a>00078 <span class="keyword">                -- <span class="vhdlkeyword">On</span> the wishbone specification we should handle the reset synchronously</span>
187
<a name="l00079"></a>00079                 <span class="vhdlkeyword">if</span> <span class="vhdlchar">rising_edge</span><span class="vhdlchar">(</span><span class="vhdlchar"><a class="code" href="classuart__control.html#aaa012193baea07aae07ac241afc38d4e" title="Global clock.">clk</a></span><span class="vhdlchar">)</span> <span class="vhdlkeyword">then</span>
188
<a name="l00080"></a>00080                         <span class="vhdlkeyword">if</span> <span class="vhdlchar"><a class="code" href="classuart__control.html#a9d3a5df2e98b99b950613d125404f7e7" title="Global reset.">rst</a></span> <span class="vhdlchar">=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">&#39;</span> <span class="vhdlkeyword">then</span>
189
<a name="l00081"></a>00081                                 config_clk &lt;= (<span class="vhdlkeyword">others</span> =&gt; &#39;0&#39;);
190
<a name="l00082"></a>00082                                 config_baud &lt;= (<span class="vhdlkeyword">others</span> =&gt; &#39;0&#39;);
191
<a name="l00083"></a>00083                                 <span class="vhdlchar">byte_to_transmit</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">(</span><span class="vhdlkeyword">others</span> <span class="vhdlchar">=</span><span class="vhdlchar">&gt;</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">&#39;</span><span class="vhdlchar">)</span>;
192
<a name="l00084"></a>00084                                 <span class="vhdlchar">startConfigBaud</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">&#39;</span>;
193
<a name="l00085"></a>00085                                 <span class="vhdlchar">startConfigClk</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">&#39;</span>;
194
<a name="l00086"></a>00086                                 <span class="vhdlchar">startDataSend</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">&#39;</span>;
195
<a name="l00087"></a>00087                                 <span class="vhdlchar">alreadyConfClk</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">&#39;</span>;
196
<a name="l00088"></a>00088                                 <span class="vhdlchar">alreadyConfBaud</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">&#39;</span>;
197
<a name="l00089"></a>00089                         <span class="vhdlkeyword">elsif</span> <span class="vhdlchar">(</span><span class="vhdlchar"><a class="code" href="classuart__control.html#a5d0f1fd17d4ada84491cbbcdff7bd59c" title="Write enable.">WE</a></span> <span class="vhdlkeyword">and</span> <span class="vhdlchar"><a class="code" href="classuart__control.html#ae66e1f3b5a7b302a165fd87d2ebf8008" title="Start (Strobe)">start</a></span><span class="vhdlchar">)</span> <span class="vhdlchar">=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">&#39;</span>      <span class="vhdlkeyword">then</span>
198
<a name="l00090"></a>00090                                 <span class="vhdlkeyword">case</span> <span class="vhdlchar"><a class="code" href="classuart__control.html#a26488fd3af03df7e52e89685254581d9" title="Register address.">reg_addr</a></span> <span class="vhdlkeyword">is</span>
199
<a name="l00091"></a>00091                                         <span class="vhdlkeyword">when</span> <span class="vhdllogic">&quot;00&quot;</span> <span class="vhdlchar">=</span><span class="vhdlchar">&gt;</span>
200
<a name="l00092"></a>00092                                                 config_clk &lt;= DAT_I;
201
<a name="l00093"></a>00093                                                 <span class="vhdlchar">startConfigClk</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">&#39;</span>;
202
<a name="l00094"></a>00094                                                 <span class="vhdlchar">startDataSend</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">&#39;</span>;
203
<a name="l00095"></a>00095                                                 <span class="vhdlchar">startConfigBaud</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">&#39;</span>;
204
<a name="l00096"></a>00096                                                 <span class="vhdlchar">alreadyConfClk</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">&#39;</span>;
205
<a name="l00097"></a>00097                                         <span class="vhdlkeyword">when</span> <span class="vhdllogic">&quot;01&quot;</span> <span class="vhdlchar">=</span><span class="vhdlchar">&gt;</span>
206
<a name="l00098"></a>00098                                                 config_baud &lt;= DAT_I;
207
<a name="l00099"></a>00099                                                 <span class="vhdlchar">startConfigBaud</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">&#39;</span>;
208
<a name="l00100"></a>00100                                                 <span class="vhdlchar">startDataSend</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">&#39;</span>;
209
<a name="l00101"></a>00101                                                 <span class="vhdlchar">startConfigClk</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">&#39;</span>;
210
<a name="l00102"></a>00102                                                 <span class="vhdlchar">alreadyConfBaud</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">&#39;</span>;
211
<a name="l00103"></a>00103                                         <span class="vhdlkeyword">when</span> <span class="vhdllogic">&quot;10&quot;</span> <span class="vhdlchar">=</span><span class="vhdlchar">&gt;</span>
212
<a name="l00104"></a>00104                                                 <span class="vhdlchar">byte_to_transmit</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar"><a class="code" href="classuart__control.html#a6a4e14f575e5b97e6af7829108a9cdb6" title="Data Input (Wishbone)">DAT_I</a></span><span class="vhdlchar">(</span><span class="vhdlchar">(</span><span class="vhdlchar">nBits</span><span class="vhdlchar">-</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">)</span> <span class="vhdlkeyword">downto</span> <span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">)</span>;
213
<a name="l00105"></a>00105                                                 <span class="vhdlchar">startConfigBaud</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">&#39;</span>;
214
<a name="l00106"></a>00106                                                 <span class="vhdlchar">startConfigClk</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">&#39;</span>;
215
<a name="l00107"></a>00107                                                 <span class="vhdlchar">startDataSend</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">&#39;</span>;
216
<a name="l00108"></a>00108                                         <span class="vhdlkeyword">when</span> <span class="vhdlkeyword">others</span> <span class="vhdlchar">=</span><span class="vhdlchar">&gt;</span>
217
<a name="l00109"></a>00109                                                 <span class="vhdlchar">startConfigBaud</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">&#39;</span>;
218
<a name="l00110"></a>00110                                                 <span class="vhdlchar">startConfigClk</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">&#39;</span>;
219
<a name="l00111"></a>00111                                                 <span class="vhdlchar">startDataSend</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">&#39;</span>;
220
<a name="l00112"></a>00112                                 <span class="vhdlkeyword">end</span> <span class="vhdlkeyword">case</span>;
221
<a name="l00113"></a>00113                         <span class="vhdlkeyword">else</span>
222
<a name="l00114"></a>00114                                 <span class="vhdlchar">startDataSend</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">&#39;</span>;
223
<a name="l00115"></a>00115                         <span class="vhdlkeyword">end</span> <span class="vhdlkeyword">if</span>;
224
<a name="l00116"></a>00116                 <span class="vhdlkeyword">end</span> <span class="vhdlkeyword">if</span>;
225
<a name="l00117"></a>00117         <span class="vhdlkeyword">end</span> <span class="vhdlkeyword">process</span>;
226
<a name="l00118"></a>00118         <span class="keyword"></span>
227
<a name="l00119"></a>00119 <span class="keyword">        -- <span class="vhdlkeyword">Process</span> <span class="vhdlkeyword">to</span> handle the reading <span class="vhdlkeyword">of</span> registers</span>
228
<a name="l00120"></a>00120         <span class="vhdlkeyword">process</span> (<a class="code" href="classuart__control.html#aaa012193baea07aae07ac241afc38d4e" title="Global clock.">clk</a>)
229
<a name="l00121"></a>00121 <span class="vhdlkeyword">        begin</span><span class="keyword"></span>
230
<a name="l00122"></a>00122 <span class="keyword">                -- <span class="vhdlkeyword">On</span> the wishbone specification we should handle the reset synchronously</span>
231
<a name="l00123"></a>00123                 <span class="vhdlkeyword">if</span> <span class="vhdlchar">rising_edge</span><span class="vhdlchar">(</span><span class="vhdlchar"><a class="code" href="classuart__control.html#aaa012193baea07aae07ac241afc38d4e" title="Global clock.">clk</a></span><span class="vhdlchar">)</span> <span class="vhdlkeyword">then</span>
232
<a name="l00124"></a>00124                         <span class="vhdlkeyword">if</span> <span class="vhdlchar"><a class="code" href="classuart__control.html#a9d3a5df2e98b99b950613d125404f7e7" title="Global reset.">rst</a></span> <span class="vhdlchar">=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">&#39;</span> <span class="vhdlkeyword">then</span>
233
<a name="l00125"></a>00125                                 <span class="vhdlchar"><a class="code" href="classuart__control.html#aa0441f210e0ee245a9ee654ee0ecb105" title="Data output (Wishbone)">DAT_O</a></span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">(</span><span class="vhdlkeyword">others</span> <span class="vhdlchar">=</span><span class="vhdlchar">&gt;</span> <span class="vhdlchar">&#39;</span><span class="vhdlchar">Z</span><span class="vhdlchar">&#39;</span><span class="vhdlchar">)</span>;
234
<a name="l00126"></a>00126                                 <span class="vhdlchar">startReadReg</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">&#39;</span>;
235
<a name="l00127"></a>00127                         <span class="vhdlkeyword">elsif</span> <span class="vhdlchar">(</span><span class="vhdlchar">(</span><span class="vhdlchar"><a class="code" href="classuart__control.html#a5d0f1fd17d4ada84491cbbcdff7bd59c" title="Write enable.">WE</a></span> <span class="vhdlchar">=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">&#39;</span><span class="vhdlchar">)</span> <span class="vhdlkeyword">and</span> <span class="vhdlchar">(</span><span class="vhdlchar"><a class="code" href="classuart__control.html#ae66e1f3b5a7b302a165fd87d2ebf8008" title="Start (Strobe)">start</a></span> <span class="vhdlchar">=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">&#39;</span><span class="vhdlchar">)</span><span class="vhdlchar">)</span> <span class="vhdlkeyword">then</span>
236
<a name="l00128"></a>00128                                 <span class="vhdlchar">startReadReg</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">&#39;</span>;
237
<a name="l00129"></a>00129                                 <span class="vhdlkeyword">case</span> <span class="vhdlchar"><a class="code" href="classuart__control.html#a26488fd3af03df7e52e89685254581d9" title="Register address.">reg_addr</a></span> <span class="vhdlkeyword">is</span>
238
<a name="l00130"></a>00130                                         <span class="vhdlkeyword">when</span> <span class="vhdllogic">&quot;00&quot;</span> <span class="vhdlchar">=</span><span class="vhdlchar">&gt;</span>
239
<a name="l00131"></a>00131                                                 <span class="vhdlchar"><a class="code" href="classuart__control.html#aa0441f210e0ee245a9ee654ee0ecb105" title="Data output (Wishbone)">DAT_O</a></span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">config_clk</span>;
240
<a name="l00132"></a>00132                                         <span class="vhdlkeyword">when</span> <span class="vhdllogic">&quot;01&quot;</span> <span class="vhdlchar">=</span><span class="vhdlchar">&gt;</span>
241
<a name="l00133"></a>00133                                                 <span class="vhdlchar"><a class="code" href="classuart__control.html#aa0441f210e0ee245a9ee654ee0ecb105" title="Data output (Wishbone)">DAT_O</a></span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">config_baud</span>;
242
<a name="l00134"></a>00134                                         <span class="vhdlkeyword">when</span> <span class="vhdllogic">&quot;10&quot;</span> <span class="vhdlchar">=</span><span class="vhdlchar">&gt;</span>
243
<a name="l00135"></a>00135                                                 <span class="vhdlchar"><a class="code" href="classuart__control.html#aa0441f210e0ee245a9ee654ee0ecb105" title="Data output (Wishbone)">DAT_O</a></span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">conv_std_logic_vector</span><span class="vhdlchar">(</span><span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">,</span> <span class="vhdlchar">(</span><span class="vhdlchar">nBitsLarge</span><span class="vhdlchar">-</span><span class="vhdlchar">nBits</span><span class="vhdlchar">)</span><span class="vhdlchar">)</span> <span class="vhdlchar">&amp;</span> <span class="vhdlchar">byte_to_transmit</span>;
244
<a name="l00136"></a>00136                                         <span class="vhdlkeyword">when</span> <span class="vhdllogic">&quot;11&quot;</span> <span class="vhdlchar">=</span><span class="vhdlchar">&gt;</span>
245
<a name="l00137"></a>00137                                                 <span class="vhdlchar"><a class="code" href="classuart__control.html#aa0441f210e0ee245a9ee654ee0ecb105" title="Data output (Wishbone)">DAT_O</a></span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">conv_std_logic_vector</span><span class="vhdlchar">(</span><span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">,</span> <span class="vhdlchar">(</span><span class="vhdlchar">nBitsLarge</span><span class="vhdlchar">-</span><span class="vhdlchar">nBits</span><span class="vhdlchar">)</span><span class="vhdlchar">)</span> <span class="vhdlchar">&amp;</span> <span class="vhdlchar">received_byte</span>;
246
<a name="l00138"></a>00138                                         <span class="vhdlkeyword">when</span> <span class="vhdlkeyword">others</span> <span class="vhdlchar">=</span><span class="vhdlchar">&gt;</span>
247
<a name="l00139"></a>00139                                                 <span class="vhdlkeyword">null</span>;
248
<a name="l00140"></a>00140                                 <span class="vhdlkeyword">end</span> <span class="vhdlkeyword">case</span>;
249
<a name="l00141"></a>00141                         <span class="vhdlkeyword">end</span> <span class="vhdlkeyword">if</span>;
250
<a name="l00142"></a>00142                 <span class="vhdlkeyword">end</span> <span class="vhdlkeyword">if</span>;
251
<a name="l00143"></a>00143         <span class="vhdlkeyword">end</span> <span class="vhdlkeyword">process</span>;
252
<a name="l00144"></a>00144         <span class="keyword"></span>
253
<a name="l00145"></a>00145 <span class="keyword">        -- <span class="vhdlkeyword">Process</span> that stores the data that comes from the serial receiver <span class="vhdlkeyword">block</span></span>
254
<a name="l00146"></a>00146         <span class="vhdlkeyword">process</span> (<a class="code" href="classuart__control.html#a0f38cbc4316d4bba03252027fe0fabc7" title="Signal comming from serial_receiver.">rx_data_ready</a>)
255
<a name="l00147"></a>00147 <span class="vhdlkeyword">        begin</span>
256
<a name="l00148"></a>00148                 <span class="vhdlkeyword">if</span> <span class="vhdlchar">rising_edge</span><span class="vhdlchar">(</span><span class="vhdlchar"><a class="code" href="classuart__control.html#a0f38cbc4316d4bba03252027fe0fabc7" title="Signal comming from serial_receiver.">rx_data_ready</a></span><span class="vhdlchar">)</span> <span class="vhdlkeyword">then</span>
257
<a name="l00149"></a>00149                         <span class="vhdlchar">received_byte</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar"><a class="code" href="classuart__control.html#a938ea181dcf736513f3743dcf22dbf85" title="1 Byte to be received by serial_receiver">data_byte_rx</a></span>;
258
<a name="l00150"></a>00150                 <span class="vhdlkeyword">else</span>
259
<a name="l00151"></a>00151                         <span class="vhdlchar">received_byte</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">received_byte</span>;
260
<a name="l00152"></a>00152                 <span class="vhdlkeyword">end</span> <span class="vhdlkeyword">if</span>;
261
<a name="l00153"></a>00153         <span class="vhdlkeyword">end</span> <span class="vhdlkeyword">process</span>;
262
<a name="l00154"></a>00154         <span class="keyword"></span>
263
<a name="l00155"></a>00155 <span class="keyword">        -- <span class="vhdlkeyword">Process</span> <span class="vhdlkeyword">to</span> send data over the serial transmitter</span>
264
<a name="l00156"></a>00156         <span class="vhdlkeyword">process</span> (<a class="code" href="classuart__control.html#aaa012193baea07aae07ac241afc38d4e" title="Global clock.">clk</a>)
265
<a name="l00157"></a>00157         <span class="vhdlkeyword">variable</span> <span class="vhdlchar">sendDataStates</span> <span class="vhdlchar">:</span> <span class="vhdlchar">sendByte</span>;
266
<a name="l00158"></a>00158 <span class="vhdlkeyword">        begin</span>
267
<a name="l00159"></a>00159                 <span class="vhdlkeyword">if</span> <span class="vhdlchar">rising_edge</span><span class="vhdlchar">(</span><span class="vhdlchar"><a class="code" href="classuart__control.html#aaa012193baea07aae07ac241afc38d4e" title="Global clock.">clk</a></span><span class="vhdlchar">)</span> <span class="vhdlkeyword">then</span>
268
<a name="l00160"></a>00160                         <span class="vhdlkeyword">if</span> <span class="vhdlchar">(</span><span class="vhdlchar"><a class="code" href="classuart__control.html#a9d3a5df2e98b99b950613d125404f7e7" title="Global reset.">rst</a></span> <span class="vhdlchar">=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">&#39;</span><span class="vhdlchar">)</span> <span class="vhdlkeyword">then</span>
269
<a name="l00161"></a>00161                                 <span class="vhdlchar">sendDataStates</span> <span class="vhdlchar">:=</span> <span class="vhdlchar">idle</span>;
270
<a name="l00162"></a>00162                         <span class="vhdlkeyword">else</span>
271
<a name="l00163"></a>00163                                 <span class="vhdlkeyword">case</span> <span class="vhdlchar">sendDataStates</span> <span class="vhdlkeyword">is</span>
272
<a name="l00164"></a>00164                                         <span class="vhdlkeyword">when</span> <span class="vhdlchar">idle</span> <span class="vhdlchar">=</span><span class="vhdlchar">&gt;</span>
273
<a name="l00165"></a>00165                                                 <span class="vhdlkeyword">if</span> <span class="vhdlchar">commBlocksInitiated</span> <span class="vhdlchar">=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">&#39;</span> <span class="vhdlkeyword">and</span> <span class="vhdlchar">startDataSend</span> <span class="vhdlchar">=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">&#39;</span> <span class="vhdlkeyword">then</span>
274
<a name="l00166"></a>00166                                                         <span class="vhdlchar">sendDataStates</span> <span class="vhdlchar">:=</span> <span class="vhdlchar">prepare_byte</span>;
275
<a name="l00167"></a>00167                                                 <span class="vhdlkeyword">end</span> <span class="vhdlkeyword">if</span>;
276
<a name="l00168"></a>00168
277
<a name="l00169"></a>00169                                         <span class="vhdlkeyword">when</span> <span class="vhdlchar">prepare_byte</span> <span class="vhdlchar">=</span><span class="vhdlchar">&gt;</span>
278
<a name="l00170"></a>00170                                                 <span class="vhdlchar"><a class="code" href="classuart__control.html#af5fbd616289aa28ef674937c72548374" title="1 Byte to be send to serial_transmitter">data_byte_tx</a></span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">byte_to_transmit</span>;
279
<a name="l00171"></a>00171                                                 <span class="vhdlchar"><a class="code" href="classuart__control.html#ac8df6578912d098bdb9f21cb0509fb63" title="Signal to start sending serial data...">tx_start</a></span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">&#39;</span>;
280
<a name="l00172"></a>00172                                                 <span class="vhdlchar">sendDataStates</span> <span class="vhdlchar">:=</span> <span class="vhdlchar">start_sending</span>;
281
<a name="l00173"></a>00173
282
<a name="l00174"></a>00174                                         <span class="vhdlkeyword">when</span> <span class="vhdlchar">start_sending</span> <span class="vhdlchar">=</span><span class="vhdlchar">&gt;</span>
283
<a name="l00175"></a>00175                                                 <span class="vhdlchar"><a class="code" href="classuart__control.html#ac8df6578912d098bdb9f21cb0509fb63" title="Signal to start sending serial data...">tx_start</a></span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">&#39;</span>;
284
<a name="l00176"></a>00176                                                 <span class="vhdlchar">sendDataStates</span> <span class="vhdlchar">:=</span> <span class="vhdlchar">wait_completion</span>;
285
<a name="l00177"></a>00177
286
<a name="l00178"></a>00178                                         <span class="vhdlkeyword">when</span> <span class="vhdlchar">wait_completion</span> <span class="vhdlchar">=</span><span class="vhdlchar">&gt;</span>
287
<a name="l00179"></a>00179                                                 <span class="vhdlkeyword">if</span> <span class="vhdlchar"><a class="code" href="classuart__control.html#af90e032a76aef85021ee288bbec12e11" title="Signal comming from serial_transmitter.">tx_data_sent</a></span> <span class="vhdlchar">=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">&#39;</span> <span class="vhdlkeyword">then</span>
288
<a name="l00180"></a>00180                                                         <span class="vhdlchar">sendDataStates</span> <span class="vhdlchar">:=</span> <span class="vhdlchar">idle</span>;
289
<a name="l00181"></a>00181                                                 <span class="vhdlkeyword">end</span> <span class="vhdlkeyword">if</span>;
290
<a name="l00182"></a>00182                                 <span class="vhdlkeyword">end</span> <span class="vhdlkeyword">case</span>;
291
<a name="l00183"></a>00183                         <span class="vhdlkeyword">end</span> <span class="vhdlkeyword">if</span>;
292
<a name="l00184"></a>00184                 <span class="vhdlkeyword">end</span> <span class="vhdlkeyword">if</span>;
293
<a name="l00185"></a>00185         <span class="vhdlkeyword">end</span> <span class="vhdlkeyword">process</span>;
294
<a name="l00186"></a>00186         <span class="keyword"></span>
295
<a name="l00187"></a>00187 <span class="keyword">        -- <span class="vhdlkeyword">Process</span> <span class="vhdlkeyword">to</span> send the ACK <span class="vhdlkeyword">signal</span>, remember that optimally this ACK should be as fast as possible</span><span class="keyword"></span>
296
<a name="l00188"></a>00188 <span class="keyword">        -- <span class="vhdlkeyword">to</span> avoid locking the <span class="vhdlkeyword">bus</span>, <span class="vhdlkeyword">on</span> this <span class="vhdlkeyword">case</span> <span class="vhdlkeyword">if</span> you send a more bytes <span class="vhdlkeyword">then</span> you can transmit the ideal</span><span class="keyword"></span>
297
<a name="l00189"></a>00189 <span class="keyword">        -- <span class="vhdlkeyword">is</span> <span class="vhdlkeyword">to</span> create an error flag <span class="vhdlkeyword">to</span> indicate overrun.</span><span class="keyword"></span>
298
<a name="l00190"></a>00190 <span class="keyword">        -- <span class="vhdlkeyword">On</span> this <span class="vhdlkeyword">case</span> <span class="vhdlkeyword">on</span> any attempt <span class="vhdlkeyword">of</span> reading <span class="vhdlkeyword">or</span> writting <span class="vhdlkeyword">on</span> registers we will be lock <span class="vhdlkeyword">on</span> </span><span class="vhdllogic">1</span> cycle
299
<a name="l00191"></a>00191         <span class="vhdlkeyword">process</span> (<a class="code" href="classuart__control.html#aaa012193baea07aae07ac241afc38d4e" title="Global clock.">clk</a>, <a class="code" href="classuart__control.html#a9d3a5df2e98b99b950613d125404f7e7" title="Global reset.">rst</a>, startConfigBaud, startConfigClk, startDataSend, startReadReg )
300
<a name="l00192"></a>00192         <span class="vhdlkeyword">variable</span> <span class="vhdlchar">joinSignal</span> <span class="vhdlchar">:</span> <span class="comment">std_logic_vector</span><span class="vhdlchar">(</span><span class="vhdllogic"></span><span class="vhdllogic">3</span> <span class="vhdlkeyword">downto</span> <span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">)</span>;
301
<a name="l00193"></a>00193         <span class="vhdlkeyword">variable</span> <span class="vhdlchar">cont_steps</span> <span class="vhdlchar">:</span> <span class="comment">integer</span> <span class="vhdlkeyword">range</span> <span class="vhdllogic"></span><span class="vhdllogic">0</span> <span class="vhdlkeyword">to</span> <span class="vhdllogic"></span><span class="vhdllogic">3</span>;
302
<a name="l00194"></a>00194 <span class="vhdlkeyword">        begin</span>
303
<a name="l00195"></a>00195                 <span class="vhdlkeyword">if</span> <span class="vhdlchar">rising_edge</span><span class="vhdlchar">(</span><span class="vhdlchar"><a class="code" href="classuart__control.html#aaa012193baea07aae07ac241afc38d4e" title="Global clock.">clk</a></span><span class="vhdlchar">)</span> <span class="vhdlkeyword">then</span>
304
<a name="l00196"></a>00196                         <span class="vhdlkeyword">if</span> <span class="vhdlchar"><a class="code" href="classuart__control.html#a9d3a5df2e98b99b950613d125404f7e7" title="Global reset.">rst</a></span> <span class="vhdlchar">=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">&#39;</span> <span class="vhdlkeyword">then</span>
305
<a name="l00197"></a>00197                                 <span class="vhdlchar"><a class="code" href="classuart__control.html#a4772fc34e10751f941e00c7f532d3a51" title="Done (ACK)">done</a></span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">&#39;</span>;
306
<a name="l00198"></a>00198                                 <span class="vhdlchar">cont_steps</span> <span class="vhdlchar">:=</span> <span class="vhdllogic"></span><span class="vhdllogic">0</span>;
307
<a name="l00199"></a>00199                         <span class="vhdlkeyword">else</span>
308
<a name="l00200"></a>00200                                 <span class="vhdlchar">joinSignal</span> <span class="vhdlchar">:=</span> <span class="vhdlchar">startConfigBaud</span> <span class="vhdlchar">&amp;</span> <span class="vhdlchar">startConfigClk</span> <span class="vhdlchar">&amp;</span> <span class="vhdlchar">startDataSend</span> <span class="vhdlchar">&amp;</span> <span class="vhdlchar">startReadReg</span>;
309
<a name="l00201"></a>00201                                 <span class="vhdlkeyword">if</span> <span class="vhdlchar">(</span><span class="vhdlchar">joinSignal</span> <span class="vhdlchar">=</span> <span class="vhdllogic">&quot;0000&quot;</span><span class="vhdlchar">)</span> <span class="vhdlkeyword">then</span>
310
<a name="l00202"></a>00202                                         <span class="vhdlchar"><a class="code" href="classuart__control.html#a4772fc34e10751f941e00c7f532d3a51" title="Done (ACK)">done</a></span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">&#39;</span>;
311
<a name="l00203"></a>00203                                 <span class="vhdlkeyword">else</span>
312
<a name="l00204"></a>00204                                         <span class="vhdlkeyword">case</span> <span class="vhdlchar">cont_steps</span> <span class="vhdlkeyword">is</span>
313
<a name="l00205"></a>00205                                                 <span class="vhdlkeyword">when</span> <span class="vhdllogic"></span><span class="vhdllogic">0</span> <span class="vhdlchar">=</span><span class="vhdlchar">&gt;</span>
314
<a name="l00206"></a>00206                                                         <span class="vhdlkeyword">if</span> <span class="vhdlchar"><a class="code" href="classuart__control.html#ae66e1f3b5a7b302a165fd87d2ebf8008" title="Start (Strobe)">start</a></span> <span class="vhdlchar">=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">&#39;</span> <span class="vhdlkeyword">then</span>
315
<a name="l00207"></a>00207                                                                 <span class="vhdlchar"><a class="code" href="classuart__control.html#a4772fc34e10751f941e00c7f532d3a51" title="Done (ACK)">done</a></span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">&#39;</span>;
316
<a name="l00208"></a>00208                                                         <span class="vhdlkeyword">end</span> <span class="vhdlkeyword">if</span>;
317
<a name="l00209"></a>00209                                                 <span class="vhdlkeyword">when</span> <span class="vhdlkeyword">others</span> <span class="vhdlchar">=</span><span class="vhdlchar">&gt;</span>
318
<a name="l00210"></a>00210                                                         <span class="vhdlchar"><a class="code" href="classuart__control.html#a4772fc34e10751f941e00c7f532d3a51" title="Done (ACK)">done</a></span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">&#39;</span>;
319
<a name="l00211"></a>00211                                         <span class="vhdlkeyword">end</span> <span class="vhdlkeyword">case</span>;
320
<a name="l00212"></a>00212
321
<a name="l00213"></a>00213                                         <span class="vhdlkeyword">if</span> <span class="vhdlchar">cont_steps</span> <span class="vhdlchar">&lt;</span> <span class="vhdllogic"></span><span class="vhdllogic">2</span> <span class="vhdlkeyword">then</span>
322
<a name="l00214"></a>00214                                                 <span class="vhdlchar">cont_steps</span> <span class="vhdlchar">:=</span> <span class="vhdlchar">cont_steps</span> <span class="vhdlchar">+</span> <span class="vhdllogic"></span><span class="vhdllogic">1</span>;
323
<a name="l00215"></a>00215                                         <span class="vhdlkeyword">else</span>
324
<a name="l00216"></a>00216                                                 <span class="vhdlchar">cont_steps</span> <span class="vhdlchar">:=</span> <span class="vhdllogic"></span><span class="vhdllogic">0</span>;
325
<a name="l00217"></a>00217                                         <span class="vhdlkeyword">end</span> <span class="vhdlkeyword">if</span>;
326
<a name="l00218"></a>00218                                 <span class="vhdlkeyword">end</span> <span class="vhdlkeyword">if</span>;
327
<a name="l00219"></a>00219                         <span class="vhdlkeyword">end</span> <span class="vhdlkeyword">if</span>;
328
<a name="l00220"></a>00220                 <span class="vhdlkeyword">end</span> <span class="vhdlkeyword">if</span>;
329
<a name="l00221"></a>00221         <span class="vhdlkeyword">end</span> <span class="vhdlkeyword">process</span>;
330
<a name="l00222"></a>00222         <span class="keyword"></span>
331
<a name="l00223"></a>00223 <span class="keyword">        -- <span class="vhdlkeyword">Process</span> <span class="vhdlkeyword">to</span> calculate the amount <span class="vhdlkeyword">of</span> cycles <span class="vhdlkeyword">to</span> <span class="vhdlkeyword">wait</span> (clock_speed / desired_baud), <span class="vhdlkeyword">and</span> initiate the board</span>
332
<a name="l00224"></a>00224         <span class="vhdlkeyword">process</span> (alreadyConfClk,alreadyConfBaud, <a class="code" href="classuart__control.html#aaa012193baea07aae07ac241afc38d4e" title="Global clock.">clk</a>)
333
<a name="l00225"></a>00225         <span class="vhdlkeyword">variable</span> <span class="vhdlchar">cont_steps</span> <span class="vhdlchar">:</span> <span class="comment">integer</span> <span class="vhdlkeyword">range</span> <span class="vhdllogic"></span><span class="vhdllogic">0</span> <span class="vhdlkeyword">to</span> <span class="vhdllogic"></span><span class="vhdllogic">3</span>;
334
<a name="l00226"></a>00226 <span class="vhdlkeyword">        begin</span>
335
<a name="l00227"></a>00227                 <span class="vhdlkeyword">if</span> <span class="vhdlchar">(</span><span class="vhdlchar">alreadyConfClk</span> <span class="vhdlkeyword">and</span> <span class="vhdlchar">alreadyConfBaud</span><span class="vhdlchar">)</span> <span class="vhdlchar">=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">&#39;</span> <span class="vhdlkeyword">then</span>
336
<a name="l00228"></a>00228                         <span class="vhdlchar">sigDivRst</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">&#39;</span>;
337
<a name="l00229"></a>00229                         <span class="vhdlchar">cont_steps</span> <span class="vhdlchar">:=</span> <span class="vhdllogic"></span><span class="vhdllogic">0</span>;
338
<a name="l00230"></a>00230                         <span class="vhdlchar"><a class="code" href="classuart__control.html#ad78e0a527c5f5c8c3ffa83c438b6f61f" title="Signal to control the baud rate frequency.">baud_wait</a></span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">(</span><span class="vhdlkeyword">others</span> <span class="vhdlchar">=</span><span class="vhdlchar">&gt;</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">&#39;</span><span class="vhdlchar">)</span>;
339
<a name="l00231"></a>00231                         <span class="vhdlchar">commBlocksInitiated</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">&#39;</span>;
340
<a name="l00232"></a>00232                 <span class="vhdlkeyword">elsif</span> <span class="vhdlchar">rising_edge</span><span class="vhdlchar">(</span><span class="vhdlchar"><a class="code" href="classuart__control.html#aaa012193baea07aae07ac241afc38d4e" title="Global clock.">clk</a></span><span class="vhdlchar">)</span> <span class="vhdlkeyword">then</span>
341
<a name="l00233"></a>00233                         <span class="vhdlkeyword">if</span> <span class="vhdlchar">cont_steps</span> <span class="vhdlchar">&lt;</span> <span class="vhdllogic"></span><span class="vhdllogic">3</span> <span class="vhdlkeyword">then</span>
342
<a name="l00234"></a>00234                                 <span class="vhdlchar">cont_steps</span> <span class="vhdlchar">:=</span> <span class="vhdlchar">cont_steps</span> <span class="vhdlchar">+</span> <span class="vhdllogic"></span><span class="vhdllogic">1</span>;
343
<a name="l00235"></a>00235                         <span class="vhdlkeyword">else</span>
344
<a name="l00236"></a>00236                                 <span class="vhdlchar">cont_steps</span> <span class="vhdlchar">:=</span> <span class="vhdllogic"></span><span class="vhdllogic">3</span>;
345
<a name="l00237"></a>00237                         <span class="vhdlkeyword">end</span> <span class="vhdlkeyword">if</span>;
346
<a name="l00238"></a>00238
347
<a name="l00239"></a>00239                         <span class="vhdlkeyword">case</span> <span class="vhdlchar">cont_steps</span> <span class="vhdlkeyword">is</span>
348
<a name="l00240"></a>00240                                 <span class="vhdlkeyword">when</span> <span class="vhdllogic"></span><span class="vhdllogic">1</span> <span class="vhdlchar">=</span><span class="vhdlchar">&gt;</span>
349
<a name="l00241"></a>00241                                         <span class="vhdlchar">sigDivNumerator</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">config_clk</span>;
350
<a name="l00242"></a>00242                                         <span class="vhdlchar">sigDivDividend</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">config_baud</span>;
351
<a name="l00243"></a>00243                                         <span class="vhdlchar">sigDivRst</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">&#39;</span>;
352
<a name="l00244"></a>00244                                 <span class="vhdlkeyword">when</span> <span class="vhdllogic"></span><span class="vhdllogic">2</span> <span class="vhdlchar">=</span><span class="vhdlchar">&gt;</span>
353
<a name="l00245"></a>00245                                         <span class="vhdlchar">sigDivRst</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">&#39;</span>;
354
<a name="l00246"></a>00246                                 <span class="vhdlkeyword">when</span> <span class="vhdlkeyword">others</span> <span class="vhdlchar">=</span><span class="vhdlchar">&gt;</span>
355
<a name="l00247"></a>00247                                         <span class="vhdlkeyword">null</span>;
356
<a name="l00248"></a>00248                         <span class="vhdlkeyword">end</span> <span class="vhdlkeyword">case</span>;
357
<a name="l00249"></a>00249                         <span class="keyword"></span>
358
<a name="l00250"></a>00250 <span class="keyword">                        -- Enable the communication <span class="vhdlkeyword">block</span> <span class="vhdlkeyword">when</span> the baud <span class="vhdlkeyword">is</span> calculated</span>
359
<a name="l00251"></a>00251                         <span class="vhdlkeyword">if</span> <span class="vhdlchar">sigDivDone</span> <span class="vhdlchar">=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">&#39;</span> <span class="vhdlkeyword">then</span>
360
<a name="l00252"></a>00252                                 <span class="vhdlchar"><a class="code" href="classuart__control.html#a665e6854e6570b00bd7d35db2049f54f" title="Reset Communication blocks.">rst_comm_blocks</a></span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">&#39;</span>;
361
<a name="l00253"></a>00253                                 <span class="vhdlchar"><a class="code" href="classuart__control.html#ad78e0a527c5f5c8c3ffa83c438b6f61f" title="Signal to control the baud rate frequency.">baud_wait</a></span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">sigDivQuotient</span>;
362
<a name="l00254"></a>00254                                 <span class="vhdlchar">commBlocksInitiated</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">&#39;</span>;
363
<a name="l00255"></a>00255                         <span class="vhdlkeyword">else</span>
364
<a name="l00256"></a>00256                                 <span class="vhdlchar"><a class="code" href="classuart__control.html#ad78e0a527c5f5c8c3ffa83c438b6f61f" title="Signal to control the baud rate frequency.">baud_wait</a></span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">(</span><span class="vhdlkeyword">others</span> <span class="vhdlchar">=</span><span class="vhdlchar">&gt;</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">&#39;</span><span class="vhdlchar">)</span>;
365
<a name="l00257"></a>00257                                 <span class="vhdlchar"><a class="code" href="classuart__control.html#a665e6854e6570b00bd7d35db2049f54f" title="Reset Communication blocks.">rst_comm_blocks</a></span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">1</span><span class="vhdlchar">&#39;</span>;
366
<a name="l00258"></a>00258                                 <span class="vhdlchar">commBlocksInitiated</span> <span class="vhdlchar">&lt;=</span> <span class="vhdlchar">&#39;</span><span class="vhdllogic"></span><span class="vhdllogic">0</span><span class="vhdlchar">&#39;</span>;
367
<a name="l00259"></a>00259                         <span class="vhdlkeyword">end</span> <span class="vhdlkeyword">if</span>;
368
<a name="l00260"></a>00260                 <span class="vhdlkeyword">end</span> <span class="vhdlkeyword">if</span>;
369
<a name="l00261"></a>00261         <span class="vhdlkeyword">end</span> <span class="vhdlkeyword">process</span>;
370
<a name="l00262"></a>00262
371
<a name="l00263"></a>00263 <span class="vhdlkeyword">end</span> <span class="vhdlchar">Behavioral</span>;
372
<a name="l00264"></a>00264
373
</pre></div></div><!-- contents -->
374
</div>
375
  <div id="nav-path" class="navpath">
376
    <ul>
377
      <li class="navelem"><a class="el" href="uart__control_8vhd.html">uart_control.vhd</a>      </li>
378
 
379
    <li class="footer">Generated on Sat May 12 2012 22:28:05 for Uart wishbone slave Documentation by
380
    <a href="http://www.doxygen.org/index.html">
381
    <img class="footer" src="doxygen.png" alt="doxygen"/></a> 1.8.0 </li>
382
   </ul>
383
 </div>
384
 
385
 
386
</body>
387
</html>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.