OpenCores
URL https://opencores.org/ocsvn/uart_plb/uart_plb/trunk

Subversion Repositories uart_plb

[/] [uart_plb/] [trunk/] [pcores/] [uart_plb_v1_00_a/] [devl/] [ipwiz.log] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 gavinux
INFO:EDK:3186 - hierarchical library inclusion detected, all file(s) of library
2
   proc_common_v3_00_a will be used ...
3
INFO:EDK:3186 - hierarchical library inclusion detected, all file(s) of library
4
   plbv46_slave_single_v1_01_a will be used ...
5
INFO:EDK:3186 - hierarchical library inclusion detected, all file(s) of library
6
   interrupt_control_v2_01_a will be used ...
7
Parsing PAO project file successfully ...
8
Analyzing HDL source files ...
9
WARNING:EDK:1303 - Failed to infer sub library HDL file uart_components.vhd from
10
   reference repositories!
11
   Either add more reference repositories or skip this sub library file ...
12
INFO:EDK:3186 - hierarchical library inclusion detected, all file(s) of library
13
   proc_common_v3_00_a will be used ...
14
INFO:EDK:3186 - hierarchical library inclusion detected, all file(s) of library
15
   plbv46_slave_single_v1_01_a will be used ...
16
INFO:EDK:3186 - hierarchical library inclusion detected, all file(s) of library
17
   interrupt_control_v2_01_a will be used ...
18
Parsing PAO project file successfully ...
19
Analyzing HDL source files ...
20
Analyzing HDL source files successfully ...
21
HDL language for the peripheral (top level) design unit uart_plb is vhdl ...
22
resolving hierarchical inclusion of library proc_common_v3_00_a in
23
C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/ ...
24
resolving hierarchical inclusion of library plbv46_slave_single_v1_01_a in
25
C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/ ...
26
resolving hierarchical inclusion of library interrupt_control_v2_01_a in
27
C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/ ...
28
INFO:EDK:3391 - Create temporary xst project file:
29
   C:\uart_plb\pcores/uart_plb.prj
30
Compiling vhdl file
31
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
32
l/vhdl/family_support.vhd" in Library proc_common_v3_00_a.
33
Package  compiled.
34
Package body  compiled.
35
Compiling vhdl file
36
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
37
l/vhdl/proc_common_pkg.vhd" in Library proc_common_v3_00_a.
38
Package  compiled.
39
Package body  compiled.
40
Compiling vhdl file
41
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
42
l/vhdl/inferred_lut4.vhd" in Library proc_common_v3_00_a.
43
Entity  compiled.
44
Entity  (Architecture ) compiled.
45
Compiling vhdl file
46
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
47
l/vhdl/muxf_struct_f.vhd" in Library proc_common_v3_00_a.
48
Entity  compiled.
49
Entity  (Architecture ) compiled.
50
Entity  compiled.
51
Entity  (Architecture ) compiled.
52
Compiling vhdl file
53
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
54
l/vhdl/pf_counter_bit.vhd" in Library proc_common_v3_00_a.
55
Entity  compiled.
56
Entity  (Architecture ) compiled.
57
Compiling vhdl file
58
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
59
l/vhdl/cntr_incr_decr_addn_f.vhd" in Library proc_common_v3_00_a.
60
Entity  compiled.
61
Entity  (Architecture ) compiled.
62
Compiling vhdl file
63
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
64
l/vhdl/dynshreg_f.vhd" in Library proc_common_v3_00_a.
65
Entity  compiled.
66
Entity  (Architecture ) compiled.
67
Compiling vhdl file
68
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
69
l/vhdl/pf_adder_bit.vhd" in Library proc_common_v3_00_a.
70
Entity  compiled.
71
Entity  (Architecture ) compiled.
72
Compiling vhdl file
73
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
74
l/vhdl/pf_counter.vhd" in Library proc_common_v3_00_a.
75
Entity  compiled.
76
Entity  (Architecture ) compiled.
77
Compiling vhdl file
78
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
79
l/vhdl/pf_occ_counter.vhd" in Library proc_common_v3_00_a.
80
Entity  compiled.
81
Entity  (Architecture ) compiled.
82
Compiling vhdl file
83
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
84
l/vhdl/counter_bit.vhd" in Library proc_common_v3_00_a.
85
Entity  compiled.
86
Entity  (Architecture ) compiled.
87
Compiling vhdl file
88
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
89
l/vhdl/or_muxcy.vhd" in Library proc_common_v3_00_a.
90
Entity  compiled.
91
Entity  (Architecture ) compiled.
92
Compiling vhdl file
93
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
94
l/vhdl/or_muxcy_f.vhd" in Library proc_common_v3_00_a.
95
Entity  compiled.
96
Entity  (Architecture ) compiled.
97
Compiling vhdl file
98
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
99
l/vhdl/srl_fifo_rbu_f.vhd" in Library proc_common_v3_00_a.
100
Entity  compiled.
101
Entity  (Architecture ) compiled.
102
Compiling vhdl file
103
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
104
l/vhdl/family.vhd" in Library proc_common_v3_00_a.
105
Package  compiled.
106
Package body  compiled.
107
Compiling vhdl file
108
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
109
l/vhdl/pf_occ_counter_top.vhd" in Library proc_common_v3_00_a.
110
Entity  compiled.
111
Entity  (Architecture ) compiled.
112
Compiling vhdl file
113
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
114
l/vhdl/pf_counter_top.vhd" in Library proc_common_v3_00_a.
115
Entity  compiled.
116
Entity  (Architecture ) compiled.
117
Compiling vhdl file
118
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
119
l/vhdl/pf_adder.vhd" in Library proc_common_v3_00_a.
120
Entity  compiled.
121
Entity  (Architecture ) compiled.
122
Compiling vhdl file
123
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
124
l/vhdl/counter.vhd" in Library proc_common_v3_00_a.
125
Entity  compiled.
126
Entity  (Architecture ) compiled.
127
Compiling vhdl file
128
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
129
l/vhdl/coregen_comp_defs.vhd" in Library proc_common_v3_00_a.
130
Package  compiled.
131
Compiling vhdl file
132
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
133
l/vhdl/common_types_pkg.vhd" in Library proc_common_v3_00_a.
134
Package  compiled.
135
Package body  compiled.
136
Compiling vhdl file
137
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
138
l/vhdl/conv_funs_pkg.vhd" in Library proc_common_v3_00_a.
139
Package  compiled.
140
Package body  compiled.
141
Compiling vhdl file
142
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
143
l/vhdl/async_fifo_fg.vhd" in Library proc_common_v3_00_a.
144
Entity  compiled.
145
Entity  (Architecture ) compiled.
146
Compiling vhdl file
147
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
148
l/vhdl/sync_fifo_fg.vhd" in Library proc_common_v3_00_a.
149
Entity  compiled.
150
Entity  (Architecture ) compiled.
151
Compiling vhdl file
152
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
153
l/vhdl/blk_mem_gen_wrapper.vhd" in Library proc_common_v3_00_a.
154
Entity  compiled.
155
Entity  (Architecture ) compiled.
156
Compiling vhdl file
157
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
158
l/vhdl/addsub.vhd" in Library proc_common_v3_00_a.
159
Entity  compiled.
160
Entity  (Architecture ) compiled.
161
Compiling vhdl file
162
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
163
l/vhdl/direct_path_cntr.vhd" in Library proc_common_v3_00_a.
164
Entity  compiled.
165
Entity  (Architecture ) compiled.
166
Compiling vhdl file
167
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
168
l/vhdl/direct_path_cntr_ai.vhd" in Library proc_common_v3_00_a.
169
Entity  compiled.
170
Entity  (Architecture ) compiled.
171
Compiling vhdl file
172
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
173
l/vhdl/down_counter.vhd" in Library proc_common_v3_00_a.
174
Entity  compiled.
175
Entity  (Architecture ) compiled.
176
Compiling vhdl file
177
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
178
l/vhdl/eval_timer.vhd" in Library proc_common_v3_00_a.
179
Entity  compiled.
180
Entity  (Architecture ) compiled.
181
Compiling vhdl file
182
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
183
l/vhdl/ipif_steer.vhd" in Library proc_common_v3_00_a.
184
Entity  compiled.
185
Entity  (Architecture ) compiled.
186
Compiling vhdl file
187
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
188
l/vhdl/ipif_steer128.vhd" in Library proc_common_v3_00_a.
189
Entity  compiled.
190
Entity  (Architecture ) compiled.
191
Compiling vhdl file
192
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
193
l/vhdl/ipif_mirror128.vhd" in Library proc_common_v3_00_a.
194
Entity  compiled.
195
Entity  (Architecture ) compiled.
196
Compiling vhdl file
197
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
198
l/vhdl/ld_arith_reg.vhd" in Library proc_common_v3_00_a.
199
Entity  compiled.
200
Entity  (Architecture ) compiled.
201
Compiling vhdl file
202
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
203
l/vhdl/ld_arith_reg2.vhd" in Library proc_common_v3_00_a.
204
Entity  compiled.
205
Entity  (Architecture ) compiled.
206
Compiling vhdl file
207
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
208
l/vhdl/mux_onehot.vhd" in Library proc_common_v3_00_a.
209
Entity  compiled.
210
Entity  (Architecture ) compiled.
211
Compiling vhdl file
212
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
213
l/vhdl/or_bits.vhd" in Library proc_common_v3_00_a.
214
Entity  compiled.
215
Entity  (Architecture ) compiled.
216
Compiling vhdl file
217
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
218
l/vhdl/or_gate.vhd" in Library proc_common_v3_00_a.
219
Entity  compiled.
220
Entity  (Architecture ) compiled.
221
Compiling vhdl file
222
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
223
l/vhdl/pf_dpram_select.vhd" in Library proc_common_v3_00_a.
224
Entity  compiled.
225
Entity  (Architecture ) compiled.
226
Compiling vhdl file
227
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
228
l/vhdl/pselect.vhd" in Library proc_common_v3_00_a.
229
Entity  compiled.
230
Entity  (Architecture ) compiled.
231
Compiling vhdl file
232
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
233
l/vhdl/pselect_mask.vhd" in Library proc_common_v3_00_a.
234
Entity  compiled.
235
Entity  (Architecture ) compiled.
236
Compiling vhdl file
237
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
238
l/vhdl/srl16_fifo.vhd" in Library proc_common_v3_00_a.
239
Entity  compiled.
240
Entity  (Architecture ) compiled.
241
Compiling vhdl file
242
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
243
l/vhdl/srl_fifo.vhd" in Library proc_common_v3_00_a.
244
Entity  compiled.
245
Entity  (Architecture ) compiled.
246
Compiling vhdl file
247
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
248
l/vhdl/srl_fifo2.vhd" in Library proc_common_v3_00_a.
249
Entity  compiled.
250
Entity  (Architecture ) compiled.
251
Compiling vhdl file
252
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
253
l/vhdl/srl_fifo3.vhd" in Library proc_common_v3_00_a.
254
Entity  compiled.
255
Entity  (Architecture ) compiled.
256
Compiling vhdl file
257
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
258
l/vhdl/srl_fifo_rbu.vhd" in Library proc_common_v3_00_a.
259
Entity  compiled.
260
Entity  (Architecture ) compiled.
261
Compiling vhdl file
262
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
263
l/vhdl/valid_be.vhd" in Library proc_common_v3_00_a.
264
Entity  compiled.
265
Entity  (Architecture ) compiled.
266
Compiling vhdl file
267
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
268
l/vhdl/or_with_enable_f.vhd" in Library proc_common_v3_00_a.
269
Entity  compiled.
270
Entity  (Architecture ) compiled.
271
Compiling vhdl file
272
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
273
l/vhdl/dynshreg_i_f.vhd" in Library proc_common_v3_00_a.
274
Entity  compiled.
275
Entity  (Architecture ) compiled.
276
Compiling vhdl file
277
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
278
l/vhdl/mux_onehot_f.vhd" in Library proc_common_v3_00_a.
279
Entity  compiled.
280
Entity  (Architecture ) compiled.
281
Compiling vhdl file
282
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
283
l/vhdl/srl_fifo_f.vhd" in Library proc_common_v3_00_a.
284
Entity  compiled.
285
Entity  (Architecture ) compiled.
286
Compiling vhdl file
287
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
288
l/vhdl/compare_vectors_f.vhd" in Library proc_common_v3_00_a.
289
Entity  compiled.
290
Entity  (Architecture ) compiled.
291
Compiling vhdl file
292
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
293
l/vhdl/counter_f.vhd" in Library proc_common_v3_00_a.
294
Entity  compiled.
295
Entity  (Architecture ) compiled.
296
Compiling vhdl file
297
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
298
l/vhdl/or_gate_f.vhd" in Library proc_common_v3_00_a.
299
Entity  compiled.
300
Entity  (Architecture ) compiled.
301
Compiling vhdl file
302
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
303
l/vhdl/soft_reset.vhd" in Library proc_common_v3_00_a.
304
Entity  compiled.
305
Entity  (Architecture ) compiled.
306
Compiling vhdl file
307
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
308
l/vhdl/pselect_f.vhd" in Library proc_common_v3_00_a.
309
Entity  compiled.
310
Entity  (Architecture ) compiled.
311
Compiling vhdl file
312
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
313
l/vhdl/or_gate128.vhd" in Library proc_common_v3_00_a.
314
Entity  compiled.
315
Entity  (Architecture ) compiled.
316
Compiling vhdl file
317
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
318
l/vhdl/ipif_pkg.vhd" in Library proc_common_v3_00_a.
319
Package  compiled.
320
Package body  compiled.
321
Compiling vhdl file
322
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1
323
_01_a/hdl/vhdl/plb_address_decoder.vhd" in Library plbv46_slave_single_v1_01_a.
324
Entity  compiled.
325
Entity  (Architecture ) compiled.
326
Compiling vhdl file
327
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart_components.vhd" in Library
328
uart_plb_v1_00_a.
329
Package  compiled.
330
Package body  compiled.
331
Compiling vhdl file
332
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1
333
_01_a/hdl/vhdl/plb_slave_attachment.vhd" in Library plbv46_slave_single_v1_01_a.
334
Entity  compiled.
335
Entity  (Architecture ) compiled.
336
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/baud.vhd" in
337
Library uart_plb_v1_00_a.
338
Entity  compiled.
339
Entity  (Architecture ) compiled.
340
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/tx.vhd" in
341
Library uart_plb_v1_00_a.
342
Entity  compiled.
343
Entity  (Architecture ) compiled.
344
Compiling vhdl file
345
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/fifo_generator_v8_1_8x16.vhd" in
346
Library uart_plb_v1_00_a.
347
Entity  compiled.
348
Entity  (Architecture )
349
compiled.
350
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/tmo.vhd" in
351
Library uart_plb_v1_00_a.
352
Entity  compiled.
353
Entity  (Architecture ) compiled.
354
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/rx.vhd" in
355
Library uart_plb_v1_00_a.
356
Entity  compiled.
357
Entity  (Architecture ) compiled.
358
Compiling vhdl file
359
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/interrupt_control_v2_0
360
1_a/hdl/vhdl/interrupt_control.vhd" in Library interrupt_control_v2_01_a.
361
Entity  compiled.
362
Entity  (Architecture ) compiled.
363
Compiling vhdl file
364
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1
365
_01_a/hdl/vhdl/plbv46_slave_single.vhd" in Library plbv46_slave_single_v1_01_a.
366
Entity  compiled.
367
Entity  (Architecture ) compiled.
368
Compiling vhdl file
369
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/user_logic.vhd" in Library
370
uart_plb_v1_00_a.
371
Entity  compiled.
372
ERROR:HDLParsers:3312 -
373
   "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/user_logic.vhd" Line 160.
374
   Undefined symbol 'uart_plb_ip'.
375
ERROR:EDK:2121 - Parse Errors encountered in HDL source
376
WARNING:EDK:3590 - Unable to delete temporary project file
377
   C:\uart_plb\pcores\uart_plb.prj : 13
378
HDL language for the peripheral (top level) design unit uart_plb is vhdl ...
379
WARNING:EDK:2221 - Project file C:\uart_plb\pcores/uart_plb.prj already exists,
380
   will be overwrite and removed afterward ...
381
resolving hierarchical inclusion of library proc_common_v3_00_a in
382
C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/ ...
383
resolving hierarchical inclusion of library plbv46_slave_single_v1_01_a in
384
C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/ ...
385
resolving hierarchical inclusion of library interrupt_control_v2_01_a in
386
C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/ ...
387
INFO:EDK:3391 - Create temporary xst project file:
388
   C:\uart_plb\pcores/uart_plb.prj
389
Compiling vhdl file
390
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
391
l/vhdl/family_support.vhd" in Library proc_common_v3_00_a.
392
Package  compiled.
393
Package body  compiled.
394
Compiling vhdl file
395
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
396
l/vhdl/proc_common_pkg.vhd" in Library proc_common_v3_00_a.
397
Package  compiled.
398
Package body  compiled.
399
Compiling vhdl file
400
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
401
l/vhdl/inferred_lut4.vhd" in Library proc_common_v3_00_a.
402
Entity  compiled.
403
Entity  (Architecture ) compiled.
404
Compiling vhdl file
405
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
406
l/vhdl/muxf_struct_f.vhd" in Library proc_common_v3_00_a.
407
Entity  compiled.
408
Entity  (Architecture ) compiled.
409
Entity  compiled.
410
Entity  (Architecture ) compiled.
411
Compiling vhdl file
412
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
413
l/vhdl/pf_counter_bit.vhd" in Library proc_common_v3_00_a.
414
Entity  compiled.
415
Entity  (Architecture ) compiled.
416
Compiling vhdl file
417
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
418
l/vhdl/cntr_incr_decr_addn_f.vhd" in Library proc_common_v3_00_a.
419
Entity  compiled.
420
Entity  (Architecture ) compiled.
421
Compiling vhdl file
422
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
423
l/vhdl/dynshreg_f.vhd" in Library proc_common_v3_00_a.
424
Entity  compiled.
425
Entity  (Architecture ) compiled.
426
Compiling vhdl file
427
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
428
l/vhdl/pf_adder_bit.vhd" in Library proc_common_v3_00_a.
429
Entity  compiled.
430
Entity  (Architecture ) compiled.
431
Compiling vhdl file
432
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
433
l/vhdl/pf_counter.vhd" in Library proc_common_v3_00_a.
434
Entity  compiled.
435
Entity  (Architecture ) compiled.
436
Compiling vhdl file
437
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
438
l/vhdl/pf_occ_counter.vhd" in Library proc_common_v3_00_a.
439
Entity  compiled.
440
Entity  (Architecture ) compiled.
441
Compiling vhdl file
442
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
443
l/vhdl/counter_bit.vhd" in Library proc_common_v3_00_a.
444
Entity  compiled.
445
Entity  (Architecture ) compiled.
446
Compiling vhdl file
447
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
448
l/vhdl/or_muxcy.vhd" in Library proc_common_v3_00_a.
449
Entity  compiled.
450
Entity  (Architecture ) compiled.
451
Compiling vhdl file
452
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
453
l/vhdl/or_muxcy_f.vhd" in Library proc_common_v3_00_a.
454
Entity  compiled.
455
Entity  (Architecture ) compiled.
456
Compiling vhdl file
457
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
458
l/vhdl/srl_fifo_rbu_f.vhd" in Library proc_common_v3_00_a.
459
Entity  compiled.
460
Entity  (Architecture ) compiled.
461
Compiling vhdl file
462
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
463
l/vhdl/family.vhd" in Library proc_common_v3_00_a.
464
Package  compiled.
465
Package body  compiled.
466
Compiling vhdl file
467
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
468
l/vhdl/pf_occ_counter_top.vhd" in Library proc_common_v3_00_a.
469
Entity  compiled.
470
Entity  (Architecture ) compiled.
471
Compiling vhdl file
472
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
473
l/vhdl/pf_counter_top.vhd" in Library proc_common_v3_00_a.
474
Entity  compiled.
475
Entity  (Architecture ) compiled.
476
Compiling vhdl file
477
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
478
l/vhdl/pf_adder.vhd" in Library proc_common_v3_00_a.
479
Entity  compiled.
480
Entity  (Architecture ) compiled.
481
Compiling vhdl file
482
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
483
l/vhdl/counter.vhd" in Library proc_common_v3_00_a.
484
Entity  compiled.
485
Entity  (Architecture ) compiled.
486
Compiling vhdl file
487
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
488
l/vhdl/coregen_comp_defs.vhd" in Library proc_common_v3_00_a.
489
Package  compiled.
490
Compiling vhdl file
491
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
492
l/vhdl/common_types_pkg.vhd" in Library proc_common_v3_00_a.
493
Package  compiled.
494
Package body  compiled.
495
Compiling vhdl file
496
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
497
l/vhdl/conv_funs_pkg.vhd" in Library proc_common_v3_00_a.
498
Package  compiled.
499
Package body  compiled.
500
Compiling vhdl file
501
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
502
l/vhdl/async_fifo_fg.vhd" in Library proc_common_v3_00_a.
503
Entity  compiled.
504
Entity  (Architecture ) compiled.
505
Compiling vhdl file
506
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
507
l/vhdl/sync_fifo_fg.vhd" in Library proc_common_v3_00_a.
508
Entity  compiled.
509
Entity  (Architecture ) compiled.
510
Compiling vhdl file
511
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
512
l/vhdl/blk_mem_gen_wrapper.vhd" in Library proc_common_v3_00_a.
513
Entity  compiled.
514
Entity  (Architecture ) compiled.
515
Compiling vhdl file
516
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
517
l/vhdl/addsub.vhd" in Library proc_common_v3_00_a.
518
Entity  compiled.
519
Entity  (Architecture ) compiled.
520
Compiling vhdl file
521
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
522
l/vhdl/direct_path_cntr.vhd" in Library proc_common_v3_00_a.
523
Entity  compiled.
524
Entity  (Architecture ) compiled.
525
Compiling vhdl file
526
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
527
l/vhdl/direct_path_cntr_ai.vhd" in Library proc_common_v3_00_a.
528
Entity  compiled.
529
Entity  (Architecture ) compiled.
530
Compiling vhdl file
531
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
532
l/vhdl/down_counter.vhd" in Library proc_common_v3_00_a.
533
Entity  compiled.
534
Entity  (Architecture ) compiled.
535
Compiling vhdl file
536
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
537
l/vhdl/eval_timer.vhd" in Library proc_common_v3_00_a.
538
Entity  compiled.
539
Entity  (Architecture ) compiled.
540
Compiling vhdl file
541
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
542
l/vhdl/ipif_steer.vhd" in Library proc_common_v3_00_a.
543
Entity  compiled.
544
Entity  (Architecture ) compiled.
545
Compiling vhdl file
546
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
547
l/vhdl/ipif_steer128.vhd" in Library proc_common_v3_00_a.
548
Entity  compiled.
549
Entity  (Architecture ) compiled.
550
Compiling vhdl file
551
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
552
l/vhdl/ipif_mirror128.vhd" in Library proc_common_v3_00_a.
553
Entity  compiled.
554
Entity  (Architecture ) compiled.
555
Compiling vhdl file
556
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
557
l/vhdl/ld_arith_reg.vhd" in Library proc_common_v3_00_a.
558
Entity  compiled.
559
Entity  (Architecture ) compiled.
560
Compiling vhdl file
561
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
562
l/vhdl/ld_arith_reg2.vhd" in Library proc_common_v3_00_a.
563
Entity  compiled.
564
Entity  (Architecture ) compiled.
565
Compiling vhdl file
566
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
567
l/vhdl/mux_onehot.vhd" in Library proc_common_v3_00_a.
568
Entity  compiled.
569
Entity  (Architecture ) compiled.
570
Compiling vhdl file
571
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
572
l/vhdl/or_bits.vhd" in Library proc_common_v3_00_a.
573
Entity  compiled.
574
Entity  (Architecture ) compiled.
575
Compiling vhdl file
576
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
577
l/vhdl/or_gate.vhd" in Library proc_common_v3_00_a.
578
Entity  compiled.
579
Entity  (Architecture ) compiled.
580
Compiling vhdl file
581
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
582
l/vhdl/pf_dpram_select.vhd" in Library proc_common_v3_00_a.
583
Entity  compiled.
584
Entity  (Architecture ) compiled.
585
Compiling vhdl file
586
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
587
l/vhdl/pselect.vhd" in Library proc_common_v3_00_a.
588
Entity  compiled.
589
Entity  (Architecture ) compiled.
590
Compiling vhdl file
591
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
592
l/vhdl/pselect_mask.vhd" in Library proc_common_v3_00_a.
593
Entity  compiled.
594
Entity  (Architecture ) compiled.
595
Compiling vhdl file
596
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
597
l/vhdl/srl16_fifo.vhd" in Library proc_common_v3_00_a.
598
Entity  compiled.
599
Entity  (Architecture ) compiled.
600
Compiling vhdl file
601
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
602
l/vhdl/srl_fifo.vhd" in Library proc_common_v3_00_a.
603
Entity  compiled.
604
Entity  (Architecture ) compiled.
605
Compiling vhdl file
606
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
607
l/vhdl/srl_fifo2.vhd" in Library proc_common_v3_00_a.
608
Entity  compiled.
609
Entity  (Architecture ) compiled.
610
Compiling vhdl file
611
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
612
l/vhdl/srl_fifo3.vhd" in Library proc_common_v3_00_a.
613
Entity  compiled.
614
Entity  (Architecture ) compiled.
615
Compiling vhdl file
616
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
617
l/vhdl/srl_fifo_rbu.vhd" in Library proc_common_v3_00_a.
618
Entity  compiled.
619
Entity  (Architecture ) compiled.
620
Compiling vhdl file
621
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
622
l/vhdl/valid_be.vhd" in Library proc_common_v3_00_a.
623
Entity  compiled.
624
Entity  (Architecture ) compiled.
625
Compiling vhdl file
626
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
627
l/vhdl/or_with_enable_f.vhd" in Library proc_common_v3_00_a.
628
Entity  compiled.
629
Entity  (Architecture ) compiled.
630
Compiling vhdl file
631
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
632
l/vhdl/dynshreg_i_f.vhd" in Library proc_common_v3_00_a.
633
Entity  compiled.
634
Entity  (Architecture ) compiled.
635
Compiling vhdl file
636
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
637
l/vhdl/mux_onehot_f.vhd" in Library proc_common_v3_00_a.
638
Entity  compiled.
639
Entity  (Architecture ) compiled.
640
Compiling vhdl file
641
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
642
l/vhdl/srl_fifo_f.vhd" in Library proc_common_v3_00_a.
643
Entity  compiled.
644
Entity  (Architecture ) compiled.
645
Compiling vhdl file
646
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
647
l/vhdl/compare_vectors_f.vhd" in Library proc_common_v3_00_a.
648
Entity  compiled.
649
Entity  (Architecture ) compiled.
650
Compiling vhdl file
651
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
652
l/vhdl/counter_f.vhd" in Library proc_common_v3_00_a.
653
Entity  compiled.
654
Entity  (Architecture ) compiled.
655
Compiling vhdl file
656
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
657
l/vhdl/or_gate_f.vhd" in Library proc_common_v3_00_a.
658
Entity  compiled.
659
Entity  (Architecture ) compiled.
660
Compiling vhdl file
661
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
662
l/vhdl/soft_reset.vhd" in Library proc_common_v3_00_a.
663
Entity  compiled.
664
Entity  (Architecture ) compiled.
665
Compiling vhdl file
666
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
667
l/vhdl/pselect_f.vhd" in Library proc_common_v3_00_a.
668
Entity  compiled.
669
Entity  (Architecture ) compiled.
670
Compiling vhdl file
671
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
672
l/vhdl/or_gate128.vhd" in Library proc_common_v3_00_a.
673
Entity  compiled.
674
Entity  (Architecture ) compiled.
675
Compiling vhdl file
676
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
677
l/vhdl/ipif_pkg.vhd" in Library proc_common_v3_00_a.
678
Package  compiled.
679
Package body  compiled.
680
Compiling vhdl file
681
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1
682
_01_a/hdl/vhdl/plb_address_decoder.vhd" in Library plbv46_slave_single_v1_01_a.
683
Entity  compiled.
684
Entity  (Architecture ) compiled.
685
Compiling vhdl file
686
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1
687
_01_a/hdl/vhdl/plb_slave_attachment.vhd" in Library plbv46_slave_single_v1_01_a.
688
Entity  compiled.
689
Entity  (Architecture ) compiled.
690
Compiling vhdl file
691
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart_components.vhd" in Library
692
uart_plb_v1_00_a.
693
Package  compiled.
694
Package body  compiled.
695
Compiling vhdl file
696
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/interrupt_control_v2_0
697
1_a/hdl/vhdl/interrupt_control.vhd" in Library interrupt_control_v2_01_a.
698
Entity  compiled.
699
Entity  (Architecture ) compiled.
700
Compiling vhdl file
701
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1
702
_01_a/hdl/vhdl/plbv46_slave_single.vhd" in Library plbv46_slave_single_v1_01_a.
703
Entity  compiled.
704
Entity  (Architecture ) compiled.
705
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/baud.vhd" in
706
Library uart_plb_v1_00_a.
707
Entity  compiled.
708
Entity  (Architecture ) compiled.
709
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/tx.vhd" in
710
Library uart_plb_v1_00_a.
711
Entity  compiled.
712
Entity  (Architecture ) compiled.
713
Compiling vhdl file
714
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/fifo_generator_v8_1_8x16.vhd" in
715
Library uart_plb_v1_00_a.
716
Entity  compiled.
717
Entity  (Architecture )
718
compiled.
719
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/tmo.vhd" in
720
Library uart_plb_v1_00_a.
721
Entity  compiled.
722
Entity  (Architecture ) compiled.
723
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/rx.vhd" in
724
Library uart_plb_v1_00_a.
725
Entity  compiled.
726
Entity  (Architecture ) compiled.
727
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart_plb.vhd"
728
in Library uart_plb_v1_00_a.
729
ERROR:HDLParsers:3014 -
730
   "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart_plb.vhd" Line 76. Library
731
   unit user_logic is not available in library uart_plb_v1_00_a.
732
ERROR:EDK:2121 - Parse Errors encountered in HDL source
733
WARNING:EDK:3590 - Unable to delete temporary project file
734
   C:\uart_plb\pcores\uart_plb.prj : 13
735
INFO:EDK:3186 - hierarchical library inclusion detected, all file(s) of library
736
   proc_common_v3_00_a will be used ...
737
INFO:EDK:3186 - hierarchical library inclusion detected, all file(s) of library
738
   plbv46_slave_single_v1_01_a will be used ...
739
INFO:EDK:3186 - hierarchical library inclusion detected, all file(s) of library
740
   interrupt_control_v2_01_a will be used ...
741
Parsing PAO project file successfully ...
742
Analyzing HDL source files ...
743
Analyzing HDL source files successfully ...
744
HDL language for the peripheral (top level) design unit uart_plb is vhdl ...
745
WARNING:EDK:2221 - Project file C:\uart_plb\pcores/uart_plb.prj already exists,
746
   will be overwrite and removed afterward ...
747
resolving hierarchical inclusion of library proc_common_v3_00_a in
748
C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/ ...
749
resolving hierarchical inclusion of library plbv46_slave_single_v1_01_a in
750
C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/ ...
751
resolving hierarchical inclusion of library interrupt_control_v2_01_a in
752
C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/ ...
753
INFO:EDK:3391 - Create temporary xst project file:
754
   C:\uart_plb\pcores/uart_plb.prj
755
Compiling vhdl file
756
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
757
l/vhdl/family_support.vhd" in Library proc_common_v3_00_a.
758
Package  compiled.
759
Package body  compiled.
760
Compiling vhdl file
761
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
762
l/vhdl/proc_common_pkg.vhd" in Library proc_common_v3_00_a.
763
Package  compiled.
764
Package body  compiled.
765
Compiling vhdl file
766
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
767
l/vhdl/inferred_lut4.vhd" in Library proc_common_v3_00_a.
768
Entity  compiled.
769
Entity  (Architecture ) compiled.
770
Compiling vhdl file
771
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
772
l/vhdl/muxf_struct_f.vhd" in Library proc_common_v3_00_a.
773
Entity  compiled.
774
Entity  (Architecture ) compiled.
775
Entity  compiled.
776
Entity  (Architecture ) compiled.
777
Compiling vhdl file
778
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
779
l/vhdl/pf_counter_bit.vhd" in Library proc_common_v3_00_a.
780
Entity  compiled.
781
Entity  (Architecture ) compiled.
782
Compiling vhdl file
783
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
784
l/vhdl/cntr_incr_decr_addn_f.vhd" in Library proc_common_v3_00_a.
785
Entity  compiled.
786
Entity  (Architecture ) compiled.
787
Compiling vhdl file
788
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
789
l/vhdl/dynshreg_f.vhd" in Library proc_common_v3_00_a.
790
Entity  compiled.
791
Entity  (Architecture ) compiled.
792
Compiling vhdl file
793
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
794
l/vhdl/pf_adder_bit.vhd" in Library proc_common_v3_00_a.
795
Entity  compiled.
796
Entity  (Architecture ) compiled.
797
Compiling vhdl file
798
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
799
l/vhdl/pf_counter.vhd" in Library proc_common_v3_00_a.
800
Entity  compiled.
801
Entity  (Architecture ) compiled.
802
Compiling vhdl file
803
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
804
l/vhdl/pf_occ_counter.vhd" in Library proc_common_v3_00_a.
805
Entity  compiled.
806
Entity  (Architecture ) compiled.
807
Compiling vhdl file
808
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
809
l/vhdl/counter_bit.vhd" in Library proc_common_v3_00_a.
810
Entity  compiled.
811
Entity  (Architecture ) compiled.
812
Compiling vhdl file
813
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
814
l/vhdl/or_muxcy.vhd" in Library proc_common_v3_00_a.
815
Entity  compiled.
816
Entity  (Architecture ) compiled.
817
Compiling vhdl file
818
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
819
l/vhdl/or_muxcy_f.vhd" in Library proc_common_v3_00_a.
820
Entity  compiled.
821
Entity  (Architecture ) compiled.
822
Compiling vhdl file
823
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
824
l/vhdl/srl_fifo_rbu_f.vhd" in Library proc_common_v3_00_a.
825
Entity  compiled.
826
Entity  (Architecture ) compiled.
827
Compiling vhdl file
828
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
829
l/vhdl/family.vhd" in Library proc_common_v3_00_a.
830
Package  compiled.
831
Package body  compiled.
832
Compiling vhdl file
833
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
834
l/vhdl/pf_occ_counter_top.vhd" in Library proc_common_v3_00_a.
835
Entity  compiled.
836
Entity  (Architecture ) compiled.
837
Compiling vhdl file
838
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
839
l/vhdl/pf_counter_top.vhd" in Library proc_common_v3_00_a.
840
Entity  compiled.
841
Entity  (Architecture ) compiled.
842
Compiling vhdl file
843
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
844
l/vhdl/pf_adder.vhd" in Library proc_common_v3_00_a.
845
Entity  compiled.
846
Entity  (Architecture ) compiled.
847
Compiling vhdl file
848
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
849
l/vhdl/counter.vhd" in Library proc_common_v3_00_a.
850
Entity  compiled.
851
Entity  (Architecture ) compiled.
852
Compiling vhdl file
853
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
854
l/vhdl/coregen_comp_defs.vhd" in Library proc_common_v3_00_a.
855
Package  compiled.
856
Compiling vhdl file
857
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart_components.vhd" in Library
858
uart_plb_v1_00_a.
859
Package  compiled.
860
Package body  compiled.
861
Compiling vhdl file
862
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
863
l/vhdl/common_types_pkg.vhd" in Library proc_common_v3_00_a.
864
Package  compiled.
865
Package body  compiled.
866
Compiling vhdl file
867
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
868
l/vhdl/conv_funs_pkg.vhd" in Library proc_common_v3_00_a.
869
Package  compiled.
870
Package body  compiled.
871
Compiling vhdl file
872
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
873
l/vhdl/async_fifo_fg.vhd" in Library proc_common_v3_00_a.
874
Entity  compiled.
875
Entity  (Architecture ) compiled.
876
Compiling vhdl file
877
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
878
l/vhdl/sync_fifo_fg.vhd" in Library proc_common_v3_00_a.
879
Entity  compiled.
880
Entity  (Architecture ) compiled.
881
Compiling vhdl file
882
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
883
l/vhdl/blk_mem_gen_wrapper.vhd" in Library proc_common_v3_00_a.
884
Entity  compiled.
885
Entity  (Architecture ) compiled.
886
Compiling vhdl file
887
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
888
l/vhdl/addsub.vhd" in Library proc_common_v3_00_a.
889
Entity  compiled.
890
Entity  (Architecture ) compiled.
891
Compiling vhdl file
892
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
893
l/vhdl/direct_path_cntr.vhd" in Library proc_common_v3_00_a.
894
Entity  compiled.
895
Entity  (Architecture ) compiled.
896
Compiling vhdl file
897
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
898
l/vhdl/direct_path_cntr_ai.vhd" in Library proc_common_v3_00_a.
899
Entity  compiled.
900
Entity  (Architecture ) compiled.
901
Compiling vhdl file
902
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
903
l/vhdl/down_counter.vhd" in Library proc_common_v3_00_a.
904
Entity  compiled.
905
Entity  (Architecture ) compiled.
906
Compiling vhdl file
907
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
908
l/vhdl/eval_timer.vhd" in Library proc_common_v3_00_a.
909
Entity  compiled.
910
Entity  (Architecture ) compiled.
911
Compiling vhdl file
912
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
913
l/vhdl/ipif_steer.vhd" in Library proc_common_v3_00_a.
914
Entity  compiled.
915
Entity  (Architecture ) compiled.
916
Compiling vhdl file
917
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
918
l/vhdl/ipif_steer128.vhd" in Library proc_common_v3_00_a.
919
Entity  compiled.
920
Entity  (Architecture ) compiled.
921
Compiling vhdl file
922
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
923
l/vhdl/ipif_mirror128.vhd" in Library proc_common_v3_00_a.
924
Entity  compiled.
925
Entity  (Architecture ) compiled.
926
Compiling vhdl file
927
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
928
l/vhdl/ld_arith_reg.vhd" in Library proc_common_v3_00_a.
929
Entity  compiled.
930
Entity  (Architecture ) compiled.
931
Compiling vhdl file
932
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
933
l/vhdl/ld_arith_reg2.vhd" in Library proc_common_v3_00_a.
934
Entity  compiled.
935
Entity  (Architecture ) compiled.
936
Compiling vhdl file
937
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
938
l/vhdl/mux_onehot.vhd" in Library proc_common_v3_00_a.
939
Entity  compiled.
940
Entity  (Architecture ) compiled.
941
Compiling vhdl file
942
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
943
l/vhdl/or_bits.vhd" in Library proc_common_v3_00_a.
944
Entity  compiled.
945
Entity  (Architecture ) compiled.
946
Compiling vhdl file
947
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
948
l/vhdl/or_gate.vhd" in Library proc_common_v3_00_a.
949
Entity  compiled.
950
Entity  (Architecture ) compiled.
951
Compiling vhdl file
952
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
953
l/vhdl/pf_dpram_select.vhd" in Library proc_common_v3_00_a.
954
Entity  compiled.
955
Entity  (Architecture ) compiled.
956
Compiling vhdl file
957
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
958
l/vhdl/pselect.vhd" in Library proc_common_v3_00_a.
959
Entity  compiled.
960
Entity  (Architecture ) compiled.
961
Compiling vhdl file
962
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
963
l/vhdl/pselect_mask.vhd" in Library proc_common_v3_00_a.
964
Entity  compiled.
965
Entity  (Architecture ) compiled.
966
Compiling vhdl file
967
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
968
l/vhdl/srl16_fifo.vhd" in Library proc_common_v3_00_a.
969
Entity  compiled.
970
Entity  (Architecture ) compiled.
971
Compiling vhdl file
972
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
973
l/vhdl/srl_fifo.vhd" in Library proc_common_v3_00_a.
974
Entity  compiled.
975
Entity  (Architecture ) compiled.
976
Compiling vhdl file
977
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
978
l/vhdl/srl_fifo2.vhd" in Library proc_common_v3_00_a.
979
Entity  compiled.
980
Entity  (Architecture ) compiled.
981
Compiling vhdl file
982
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
983
l/vhdl/srl_fifo3.vhd" in Library proc_common_v3_00_a.
984
Entity  compiled.
985
Entity  (Architecture ) compiled.
986
Compiling vhdl file
987
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
988
l/vhdl/srl_fifo_rbu.vhd" in Library proc_common_v3_00_a.
989
Entity  compiled.
990
Entity  (Architecture ) compiled.
991
Compiling vhdl file
992
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
993
l/vhdl/valid_be.vhd" in Library proc_common_v3_00_a.
994
Entity  compiled.
995
Entity  (Architecture ) compiled.
996
Compiling vhdl file
997
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
998
l/vhdl/or_with_enable_f.vhd" in Library proc_common_v3_00_a.
999
Entity  compiled.
1000
Entity  (Architecture ) compiled.
1001
Compiling vhdl file
1002
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1003
l/vhdl/dynshreg_i_f.vhd" in Library proc_common_v3_00_a.
1004
Entity  compiled.
1005
Entity  (Architecture ) compiled.
1006
Compiling vhdl file
1007
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1008
l/vhdl/mux_onehot_f.vhd" in Library proc_common_v3_00_a.
1009
Entity  compiled.
1010
Entity  (Architecture ) compiled.
1011
Compiling vhdl file
1012
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1013
l/vhdl/srl_fifo_f.vhd" in Library proc_common_v3_00_a.
1014
Entity  compiled.
1015
Entity  (Architecture ) compiled.
1016
Compiling vhdl file
1017
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1018
l/vhdl/compare_vectors_f.vhd" in Library proc_common_v3_00_a.
1019
Entity  compiled.
1020
Entity  (Architecture ) compiled.
1021
Compiling vhdl file
1022
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1023
l/vhdl/counter_f.vhd" in Library proc_common_v3_00_a.
1024
Entity  compiled.
1025
Entity  (Architecture ) compiled.
1026
Compiling vhdl file
1027
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1028
l/vhdl/or_gate_f.vhd" in Library proc_common_v3_00_a.
1029
Entity  compiled.
1030
Entity  (Architecture ) compiled.
1031
Compiling vhdl file
1032
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1033
l/vhdl/soft_reset.vhd" in Library proc_common_v3_00_a.
1034
Entity  compiled.
1035
Entity  (Architecture ) compiled.
1036
Compiling vhdl file
1037
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1038
l/vhdl/pselect_f.vhd" in Library proc_common_v3_00_a.
1039
Entity  compiled.
1040
Entity  (Architecture ) compiled.
1041
Compiling vhdl file
1042
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1043
l/vhdl/or_gate128.vhd" in Library proc_common_v3_00_a.
1044
Entity  compiled.
1045
Entity  (Architecture ) compiled.
1046
Compiling vhdl file
1047
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1048
l/vhdl/ipif_pkg.vhd" in Library proc_common_v3_00_a.
1049
Package  compiled.
1050
Package body  compiled.
1051
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/baud.vhd" in
1052
Library uart_plb_v1_00_a.
1053
Entity  compiled.
1054
Entity  (Architecture ) compiled.
1055
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/tx.vhd" in
1056
Library uart_plb_v1_00_a.
1057
Entity  compiled.
1058
Entity  (Architecture ) compiled.
1059
Compiling vhdl file
1060
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/fifo_generator_v8_1_8x16.vhd" in
1061
Library uart_plb_v1_00_a.
1062
Entity  compiled.
1063
Entity  (Architecture )
1064
compiled.
1065
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/tmo.vhd" in
1066
Library uart_plb_v1_00_a.
1067
Entity  compiled.
1068
Entity  (Architecture ) compiled.
1069
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/rx.vhd" in
1070
Library uart_plb_v1_00_a.
1071
Entity  compiled.
1072
Entity  (Architecture ) compiled.
1073
Compiling vhdl file
1074
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1
1075
_01_a/hdl/vhdl/plb_address_decoder.vhd" in Library plbv46_slave_single_v1_01_a.
1076
Entity  compiled.
1077
Entity  (Architecture ) compiled.
1078
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart.vhd" in
1079
Library uart_plb_v1_00_a.
1080
Entity  compiled.
1081
Entity  (Architecture ) compiled.
1082
Compiling vhdl file
1083
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1
1084
_01_a/hdl/vhdl/plb_slave_attachment.vhd" in Library plbv46_slave_single_v1_01_a.
1085
Entity  compiled.
1086
Entity  (Architecture ) compiled.
1087
Compiling vhdl file
1088
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/interrupt_control_v2_0
1089
1_a/hdl/vhdl/interrupt_control.vhd" in Library interrupt_control_v2_01_a.
1090
Entity  compiled.
1091
Entity  (Architecture ) compiled.
1092
Compiling vhdl file
1093
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1
1094
_01_a/hdl/vhdl/plbv46_slave_single.vhd" in Library plbv46_slave_single_v1_01_a.
1095
Entity  compiled.
1096
Entity  (Architecture ) compiled.
1097
Compiling vhdl file
1098
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/user_logic.vhd" in Library
1099
uart_plb_v1_00_a.
1100
Entity  compiled.
1101
Entity  (Architecture ) compiled.
1102
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart_plb.vhd"
1103
in Library uart_plb_v1_00_a.
1104
Entity  compiled.
1105
ERROR:HDLParsers:851 -
1106
   "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart_plb.vhd" Line 476. Formal
1107
   rx_sin of entity with no default value must be associated with an actual
1108
   value.
1109
ERROR:EDK:2121 - Parse Errors encountered in HDL source
1110
WARNING:EDK:3590 - Unable to delete temporary project file
1111
   C:\uart_plb\pcores\uart_plb.prj : 13
1112
HDL language for the peripheral (top level) design unit uart_plb is vhdl ...
1113
WARNING:EDK:2221 - Project file C:\uart_plb\pcores/uart_plb.prj already exists,
1114
   will be overwrite and removed afterward ...
1115
resolving hierarchical inclusion of library proc_common_v3_00_a in
1116
C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/ ...
1117
resolving hierarchical inclusion of library plbv46_slave_single_v1_01_a in
1118
C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/ ...
1119
resolving hierarchical inclusion of library interrupt_control_v2_01_a in
1120
C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/ ...
1121
INFO:EDK:3391 - Create temporary xst project file:
1122
   C:\uart_plb\pcores/uart_plb.prj
1123
Compiling vhdl file
1124
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1125
l/vhdl/family_support.vhd" in Library proc_common_v3_00_a.
1126
Package  compiled.
1127
Package body  compiled.
1128
Compiling vhdl file
1129
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1130
l/vhdl/proc_common_pkg.vhd" in Library proc_common_v3_00_a.
1131
Package  compiled.
1132
Package body  compiled.
1133
Compiling vhdl file
1134
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1135
l/vhdl/inferred_lut4.vhd" in Library proc_common_v3_00_a.
1136
Entity  compiled.
1137
Entity  (Architecture ) compiled.
1138
Compiling vhdl file
1139
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1140
l/vhdl/muxf_struct_f.vhd" in Library proc_common_v3_00_a.
1141
Entity  compiled.
1142
Entity  (Architecture ) compiled.
1143
Entity  compiled.
1144
Entity  (Architecture ) compiled.
1145
Compiling vhdl file
1146
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1147
l/vhdl/pf_counter_bit.vhd" in Library proc_common_v3_00_a.
1148
Entity  compiled.
1149
Entity  (Architecture ) compiled.
1150
Compiling vhdl file
1151
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1152
l/vhdl/cntr_incr_decr_addn_f.vhd" in Library proc_common_v3_00_a.
1153
Entity  compiled.
1154
Entity  (Architecture ) compiled.
1155
Compiling vhdl file
1156
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1157
l/vhdl/dynshreg_f.vhd" in Library proc_common_v3_00_a.
1158
Entity  compiled.
1159
Entity  (Architecture ) compiled.
1160
Compiling vhdl file
1161
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1162
l/vhdl/pf_adder_bit.vhd" in Library proc_common_v3_00_a.
1163
Entity  compiled.
1164
Entity  (Architecture ) compiled.
1165
Compiling vhdl file
1166
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1167
l/vhdl/pf_counter.vhd" in Library proc_common_v3_00_a.
1168
Entity  compiled.
1169
Entity  (Architecture ) compiled.
1170
Compiling vhdl file
1171
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1172
l/vhdl/pf_occ_counter.vhd" in Library proc_common_v3_00_a.
1173
Entity  compiled.
1174
Entity  (Architecture ) compiled.
1175
Compiling vhdl file
1176
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1177
l/vhdl/counter_bit.vhd" in Library proc_common_v3_00_a.
1178
Entity  compiled.
1179
Entity  (Architecture ) compiled.
1180
Compiling vhdl file
1181
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1182
l/vhdl/or_muxcy.vhd" in Library proc_common_v3_00_a.
1183
Entity  compiled.
1184
Entity  (Architecture ) compiled.
1185
Compiling vhdl file
1186
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1187
l/vhdl/or_muxcy_f.vhd" in Library proc_common_v3_00_a.
1188
Entity  compiled.
1189
Entity  (Architecture ) compiled.
1190
Compiling vhdl file
1191
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1192
l/vhdl/srl_fifo_rbu_f.vhd" in Library proc_common_v3_00_a.
1193
Entity  compiled.
1194
Entity  (Architecture ) compiled.
1195
Compiling vhdl file
1196
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1197
l/vhdl/family.vhd" in Library proc_common_v3_00_a.
1198
Package  compiled.
1199
Package body  compiled.
1200
Compiling vhdl file
1201
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1202
l/vhdl/pf_occ_counter_top.vhd" in Library proc_common_v3_00_a.
1203
Entity  compiled.
1204
Entity  (Architecture ) compiled.
1205
Compiling vhdl file
1206
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1207
l/vhdl/pf_counter_top.vhd" in Library proc_common_v3_00_a.
1208
Entity  compiled.
1209
Entity  (Architecture ) compiled.
1210
Compiling vhdl file
1211
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1212
l/vhdl/pf_adder.vhd" in Library proc_common_v3_00_a.
1213
Entity  compiled.
1214
Entity  (Architecture ) compiled.
1215
Compiling vhdl file
1216
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1217
l/vhdl/counter.vhd" in Library proc_common_v3_00_a.
1218
Entity  compiled.
1219
Entity  (Architecture ) compiled.
1220
Compiling vhdl file
1221
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1222
l/vhdl/coregen_comp_defs.vhd" in Library proc_common_v3_00_a.
1223
Package  compiled.
1224
Compiling vhdl file
1225
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart_components.vhd" in Library
1226
uart_plb_v1_00_a.
1227
Package  compiled.
1228
Package body  compiled.
1229
Compiling vhdl file
1230
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1231
l/vhdl/common_types_pkg.vhd" in Library proc_common_v3_00_a.
1232
Package  compiled.
1233
Package body  compiled.
1234
Compiling vhdl file
1235
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1236
l/vhdl/conv_funs_pkg.vhd" in Library proc_common_v3_00_a.
1237
Package  compiled.
1238
Package body  compiled.
1239
Compiling vhdl file
1240
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1241
l/vhdl/async_fifo_fg.vhd" in Library proc_common_v3_00_a.
1242
Entity  compiled.
1243
Entity  (Architecture ) compiled.
1244
Compiling vhdl file
1245
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1246
l/vhdl/sync_fifo_fg.vhd" in Library proc_common_v3_00_a.
1247
Entity  compiled.
1248
Entity  (Architecture ) compiled.
1249
Compiling vhdl file
1250
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1251
l/vhdl/blk_mem_gen_wrapper.vhd" in Library proc_common_v3_00_a.
1252
Entity  compiled.
1253
Entity  (Architecture ) compiled.
1254
Compiling vhdl file
1255
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1256
l/vhdl/addsub.vhd" in Library proc_common_v3_00_a.
1257
Entity  compiled.
1258
Entity  (Architecture ) compiled.
1259
Compiling vhdl file
1260
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1261
l/vhdl/direct_path_cntr.vhd" in Library proc_common_v3_00_a.
1262
Entity  compiled.
1263
Entity  (Architecture ) compiled.
1264
Compiling vhdl file
1265
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1266
l/vhdl/direct_path_cntr_ai.vhd" in Library proc_common_v3_00_a.
1267
Entity  compiled.
1268
Entity  (Architecture ) compiled.
1269
Compiling vhdl file
1270
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1271
l/vhdl/down_counter.vhd" in Library proc_common_v3_00_a.
1272
Entity  compiled.
1273
Entity  (Architecture ) compiled.
1274
Compiling vhdl file
1275
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1276
l/vhdl/eval_timer.vhd" in Library proc_common_v3_00_a.
1277
Entity  compiled.
1278
Entity  (Architecture ) compiled.
1279
Compiling vhdl file
1280
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1281
l/vhdl/ipif_steer.vhd" in Library proc_common_v3_00_a.
1282
Entity  compiled.
1283
Entity  (Architecture ) compiled.
1284
Compiling vhdl file
1285
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1286
l/vhdl/ipif_steer128.vhd" in Library proc_common_v3_00_a.
1287
Entity  compiled.
1288
Entity  (Architecture ) compiled.
1289
Compiling vhdl file
1290
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1291
l/vhdl/ipif_mirror128.vhd" in Library proc_common_v3_00_a.
1292
Entity  compiled.
1293
Entity  (Architecture ) compiled.
1294
Compiling vhdl file
1295
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1296
l/vhdl/ld_arith_reg.vhd" in Library proc_common_v3_00_a.
1297
Entity  compiled.
1298
Entity  (Architecture ) compiled.
1299
Compiling vhdl file
1300
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1301
l/vhdl/ld_arith_reg2.vhd" in Library proc_common_v3_00_a.
1302
Entity  compiled.
1303
Entity  (Architecture ) compiled.
1304
Compiling vhdl file
1305
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1306
l/vhdl/mux_onehot.vhd" in Library proc_common_v3_00_a.
1307
Entity  compiled.
1308
Entity  (Architecture ) compiled.
1309
Compiling vhdl file
1310
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1311
l/vhdl/or_bits.vhd" in Library proc_common_v3_00_a.
1312
Entity  compiled.
1313
Entity  (Architecture ) compiled.
1314
Compiling vhdl file
1315
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1316
l/vhdl/or_gate.vhd" in Library proc_common_v3_00_a.
1317
Entity  compiled.
1318
Entity  (Architecture ) compiled.
1319
Compiling vhdl file
1320
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1321
l/vhdl/pf_dpram_select.vhd" in Library proc_common_v3_00_a.
1322
Entity  compiled.
1323
Entity  (Architecture ) compiled.
1324
Compiling vhdl file
1325
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1326
l/vhdl/pselect.vhd" in Library proc_common_v3_00_a.
1327
Entity  compiled.
1328
Entity  (Architecture ) compiled.
1329
Compiling vhdl file
1330
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1331
l/vhdl/pselect_mask.vhd" in Library proc_common_v3_00_a.
1332
Entity  compiled.
1333
Entity  (Architecture ) compiled.
1334
Compiling vhdl file
1335
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1336
l/vhdl/srl16_fifo.vhd" in Library proc_common_v3_00_a.
1337
Entity  compiled.
1338
Entity  (Architecture ) compiled.
1339
Compiling vhdl file
1340
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1341
l/vhdl/srl_fifo.vhd" in Library proc_common_v3_00_a.
1342
Entity  compiled.
1343
Entity  (Architecture ) compiled.
1344
Compiling vhdl file
1345
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1346
l/vhdl/srl_fifo2.vhd" in Library proc_common_v3_00_a.
1347
Entity  compiled.
1348
Entity  (Architecture ) compiled.
1349
Compiling vhdl file
1350
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1351
l/vhdl/srl_fifo3.vhd" in Library proc_common_v3_00_a.
1352
Entity  compiled.
1353
Entity  (Architecture ) compiled.
1354
Compiling vhdl file
1355
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1356
l/vhdl/srl_fifo_rbu.vhd" in Library proc_common_v3_00_a.
1357
Entity  compiled.
1358
Entity  (Architecture ) compiled.
1359
Compiling vhdl file
1360
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1361
l/vhdl/valid_be.vhd" in Library proc_common_v3_00_a.
1362
Entity  compiled.
1363
Entity  (Architecture ) compiled.
1364
Compiling vhdl file
1365
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1366
l/vhdl/or_with_enable_f.vhd" in Library proc_common_v3_00_a.
1367
Entity  compiled.
1368
Entity  (Architecture ) compiled.
1369
Compiling vhdl file
1370
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1371
l/vhdl/dynshreg_i_f.vhd" in Library proc_common_v3_00_a.
1372
Entity  compiled.
1373
Entity  (Architecture ) compiled.
1374
Compiling vhdl file
1375
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1376
l/vhdl/mux_onehot_f.vhd" in Library proc_common_v3_00_a.
1377
Entity  compiled.
1378
Entity  (Architecture ) compiled.
1379
Compiling vhdl file
1380
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1381
l/vhdl/srl_fifo_f.vhd" in Library proc_common_v3_00_a.
1382
Entity  compiled.
1383
Entity  (Architecture ) compiled.
1384
Compiling vhdl file
1385
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1386
l/vhdl/compare_vectors_f.vhd" in Library proc_common_v3_00_a.
1387
Entity  compiled.
1388
Entity  (Architecture ) compiled.
1389
Compiling vhdl file
1390
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1391
l/vhdl/counter_f.vhd" in Library proc_common_v3_00_a.
1392
Entity  compiled.
1393
Entity  (Architecture ) compiled.
1394
Compiling vhdl file
1395
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1396
l/vhdl/or_gate_f.vhd" in Library proc_common_v3_00_a.
1397
Entity  compiled.
1398
Entity  (Architecture ) compiled.
1399
Compiling vhdl file
1400
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1401
l/vhdl/soft_reset.vhd" in Library proc_common_v3_00_a.
1402
Entity  compiled.
1403
Entity  (Architecture ) compiled.
1404
Compiling vhdl file
1405
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1406
l/vhdl/pselect_f.vhd" in Library proc_common_v3_00_a.
1407
Entity  compiled.
1408
Entity  (Architecture ) compiled.
1409
Compiling vhdl file
1410
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1411
l/vhdl/or_gate128.vhd" in Library proc_common_v3_00_a.
1412
Entity  compiled.
1413
Entity  (Architecture ) compiled.
1414
Compiling vhdl file
1415
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1416
l/vhdl/ipif_pkg.vhd" in Library proc_common_v3_00_a.
1417
Package  compiled.
1418
Package body  compiled.
1419
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/baud.vhd" in
1420
Library uart_plb_v1_00_a.
1421
Entity  compiled.
1422
Entity  (Architecture ) compiled.
1423
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/tx.vhd" in
1424
Library uart_plb_v1_00_a.
1425
Entity  compiled.
1426
Entity  (Architecture ) compiled.
1427
Compiling vhdl file
1428
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/fifo_generator_v8_1_8x16.vhd" in
1429
Library uart_plb_v1_00_a.
1430
Entity  compiled.
1431
Entity  (Architecture )
1432
compiled.
1433
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/tmo.vhd" in
1434
Library uart_plb_v1_00_a.
1435
Entity  compiled.
1436
Entity  (Architecture ) compiled.
1437
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/rx.vhd" in
1438
Library uart_plb_v1_00_a.
1439
Entity  compiled.
1440
Entity  (Architecture ) compiled.
1441
Compiling vhdl file
1442
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1
1443
_01_a/hdl/vhdl/plb_address_decoder.vhd" in Library plbv46_slave_single_v1_01_a.
1444
Entity  compiled.
1445
Entity  (Architecture ) compiled.
1446
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart.vhd" in
1447
Library uart_plb_v1_00_a.
1448
Entity  compiled.
1449
Entity  (Architecture ) compiled.
1450
Compiling vhdl file
1451
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1
1452
_01_a/hdl/vhdl/plb_slave_attachment.vhd" in Library plbv46_slave_single_v1_01_a.
1453
Entity  compiled.
1454
Entity  (Architecture ) compiled.
1455
Compiling vhdl file
1456
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/interrupt_control_v2_0
1457
1_a/hdl/vhdl/interrupt_control.vhd" in Library interrupt_control_v2_01_a.
1458
Entity  compiled.
1459
Entity  (Architecture ) compiled.
1460
Compiling vhdl file
1461
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1
1462
_01_a/hdl/vhdl/plbv46_slave_single.vhd" in Library plbv46_slave_single_v1_01_a.
1463
Entity  compiled.
1464
Entity  (Architecture ) compiled.
1465
Compiling vhdl file
1466
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/user_logic.vhd" in Library
1467
uart_plb_v1_00_a.
1468
Entity  compiled.
1469
Entity  (Architecture ) compiled.
1470
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart_plb.vhd"
1471
in Library uart_plb_v1_00_a.
1472
Entity  compiled.
1473
ERROR:HDLParsers:851 -
1474
   "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart_plb.vhd" Line 476. Formal
1475
   rx_sin of entity with no default value must be associated with an actual
1476
   value.
1477
ERROR:EDK:2121 - Parse Errors encountered in HDL source
1478
WARNING:EDK:3590 - Unable to delete temporary project file
1479
   C:\uart_plb\pcores\uart_plb.prj : 13
1480
HDL language for the peripheral (top level) design unit uart_plb is vhdl ...
1481
WARNING:EDK:2221 - Project file C:\uart_plb\pcores/uart_plb.prj already exists,
1482
   will be overwrite and removed afterward ...
1483
resolving hierarchical inclusion of library proc_common_v3_00_a in
1484
C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/ ...
1485
resolving hierarchical inclusion of library plbv46_slave_single_v1_01_a in
1486
C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/ ...
1487
resolving hierarchical inclusion of library interrupt_control_v2_01_a in
1488
C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/ ...
1489
INFO:EDK:3391 - Create temporary xst project file:
1490
   C:\uart_plb\pcores/uart_plb.prj
1491
Compiling vhdl file
1492
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1493
l/vhdl/family_support.vhd" in Library proc_common_v3_00_a.
1494
Package  compiled.
1495
Package body  compiled.
1496
Compiling vhdl file
1497
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1498
l/vhdl/proc_common_pkg.vhd" in Library proc_common_v3_00_a.
1499
Package  compiled.
1500
Package body  compiled.
1501
Compiling vhdl file
1502
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1503
l/vhdl/inferred_lut4.vhd" in Library proc_common_v3_00_a.
1504
Entity  compiled.
1505
Entity  (Architecture ) compiled.
1506
Compiling vhdl file
1507
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1508
l/vhdl/muxf_struct_f.vhd" in Library proc_common_v3_00_a.
1509
Entity  compiled.
1510
Entity  (Architecture ) compiled.
1511
Entity  compiled.
1512
Entity  (Architecture ) compiled.
1513
Compiling vhdl file
1514
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1515
l/vhdl/pf_counter_bit.vhd" in Library proc_common_v3_00_a.
1516
Entity  compiled.
1517
Entity  (Architecture ) compiled.
1518
Compiling vhdl file
1519
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1520
l/vhdl/cntr_incr_decr_addn_f.vhd" in Library proc_common_v3_00_a.
1521
Entity  compiled.
1522
Entity  (Architecture ) compiled.
1523
Compiling vhdl file
1524
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1525
l/vhdl/dynshreg_f.vhd" in Library proc_common_v3_00_a.
1526
Entity  compiled.
1527
Entity  (Architecture ) compiled.
1528
Compiling vhdl file
1529
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1530
l/vhdl/pf_adder_bit.vhd" in Library proc_common_v3_00_a.
1531
Entity  compiled.
1532
Entity  (Architecture ) compiled.
1533
Compiling vhdl file
1534
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1535
l/vhdl/pf_counter.vhd" in Library proc_common_v3_00_a.
1536
Entity  compiled.
1537
Entity  (Architecture ) compiled.
1538
Compiling vhdl file
1539
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1540
l/vhdl/pf_occ_counter.vhd" in Library proc_common_v3_00_a.
1541
Entity  compiled.
1542
Entity  (Architecture ) compiled.
1543
Compiling vhdl file
1544
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1545
l/vhdl/counter_bit.vhd" in Library proc_common_v3_00_a.
1546
Entity  compiled.
1547
Entity  (Architecture ) compiled.
1548
Compiling vhdl file
1549
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1550
l/vhdl/or_muxcy.vhd" in Library proc_common_v3_00_a.
1551
Entity  compiled.
1552
Entity  (Architecture ) compiled.
1553
Compiling vhdl file
1554
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1555
l/vhdl/or_muxcy_f.vhd" in Library proc_common_v3_00_a.
1556
Entity  compiled.
1557
Entity  (Architecture ) compiled.
1558
Compiling vhdl file
1559
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1560
l/vhdl/srl_fifo_rbu_f.vhd" in Library proc_common_v3_00_a.
1561
Entity  compiled.
1562
Entity  (Architecture ) compiled.
1563
Compiling vhdl file
1564
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1565
l/vhdl/family.vhd" in Library proc_common_v3_00_a.
1566
Package  compiled.
1567
Package body  compiled.
1568
Compiling vhdl file
1569
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1570
l/vhdl/pf_occ_counter_top.vhd" in Library proc_common_v3_00_a.
1571
Entity  compiled.
1572
Entity  (Architecture ) compiled.
1573
Compiling vhdl file
1574
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1575
l/vhdl/pf_counter_top.vhd" in Library proc_common_v3_00_a.
1576
Entity  compiled.
1577
Entity  (Architecture ) compiled.
1578
Compiling vhdl file
1579
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1580
l/vhdl/pf_adder.vhd" in Library proc_common_v3_00_a.
1581
Entity  compiled.
1582
Entity  (Architecture ) compiled.
1583
Compiling vhdl file
1584
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1585
l/vhdl/counter.vhd" in Library proc_common_v3_00_a.
1586
Entity  compiled.
1587
Entity  (Architecture ) compiled.
1588
Compiling vhdl file
1589
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1590
l/vhdl/coregen_comp_defs.vhd" in Library proc_common_v3_00_a.
1591
Package  compiled.
1592
Compiling vhdl file
1593
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart_components.vhd" in Library
1594
uart_plb_v1_00_a.
1595
Package  compiled.
1596
Package body  compiled.
1597
Compiling vhdl file
1598
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1599
l/vhdl/common_types_pkg.vhd" in Library proc_common_v3_00_a.
1600
Package  compiled.
1601
Package body  compiled.
1602
Compiling vhdl file
1603
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1604
l/vhdl/conv_funs_pkg.vhd" in Library proc_common_v3_00_a.
1605
Package  compiled.
1606
Package body  compiled.
1607
Compiling vhdl file
1608
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1609
l/vhdl/async_fifo_fg.vhd" in Library proc_common_v3_00_a.
1610
Entity  compiled.
1611
Entity  (Architecture ) compiled.
1612
Compiling vhdl file
1613
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1614
l/vhdl/sync_fifo_fg.vhd" in Library proc_common_v3_00_a.
1615
Entity  compiled.
1616
Entity  (Architecture ) compiled.
1617
Compiling vhdl file
1618
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1619
l/vhdl/blk_mem_gen_wrapper.vhd" in Library proc_common_v3_00_a.
1620
Entity  compiled.
1621
Entity  (Architecture ) compiled.
1622
Compiling vhdl file
1623
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1624
l/vhdl/addsub.vhd" in Library proc_common_v3_00_a.
1625
Entity  compiled.
1626
Entity  (Architecture ) compiled.
1627
Compiling vhdl file
1628
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1629
l/vhdl/direct_path_cntr.vhd" in Library proc_common_v3_00_a.
1630
Entity  compiled.
1631
Entity  (Architecture ) compiled.
1632
Compiling vhdl file
1633
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1634
l/vhdl/direct_path_cntr_ai.vhd" in Library proc_common_v3_00_a.
1635
Entity  compiled.
1636
Entity  (Architecture ) compiled.
1637
Compiling vhdl file
1638
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1639
l/vhdl/down_counter.vhd" in Library proc_common_v3_00_a.
1640
Entity  compiled.
1641
Entity  (Architecture ) compiled.
1642
Compiling vhdl file
1643
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1644
l/vhdl/eval_timer.vhd" in Library proc_common_v3_00_a.
1645
Entity  compiled.
1646
Entity  (Architecture ) compiled.
1647
Compiling vhdl file
1648
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1649
l/vhdl/ipif_steer.vhd" in Library proc_common_v3_00_a.
1650
Entity  compiled.
1651
Entity  (Architecture ) compiled.
1652
Compiling vhdl file
1653
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1654
l/vhdl/ipif_steer128.vhd" in Library proc_common_v3_00_a.
1655
Entity  compiled.
1656
Entity  (Architecture ) compiled.
1657
Compiling vhdl file
1658
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1659
l/vhdl/ipif_mirror128.vhd" in Library proc_common_v3_00_a.
1660
Entity  compiled.
1661
Entity  (Architecture ) compiled.
1662
Compiling vhdl file
1663
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1664
l/vhdl/ld_arith_reg.vhd" in Library proc_common_v3_00_a.
1665
Entity  compiled.
1666
Entity  (Architecture ) compiled.
1667
Compiling vhdl file
1668
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1669
l/vhdl/ld_arith_reg2.vhd" in Library proc_common_v3_00_a.
1670
Entity  compiled.
1671
Entity  (Architecture ) compiled.
1672
Compiling vhdl file
1673
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1674
l/vhdl/mux_onehot.vhd" in Library proc_common_v3_00_a.
1675
Entity  compiled.
1676
Entity  (Architecture ) compiled.
1677
Compiling vhdl file
1678
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1679
l/vhdl/or_bits.vhd" in Library proc_common_v3_00_a.
1680
Entity  compiled.
1681
Entity  (Architecture ) compiled.
1682
Compiling vhdl file
1683
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1684
l/vhdl/or_gate.vhd" in Library proc_common_v3_00_a.
1685
Entity  compiled.
1686
Entity  (Architecture ) compiled.
1687
Compiling vhdl file
1688
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1689
l/vhdl/pf_dpram_select.vhd" in Library proc_common_v3_00_a.
1690
Entity  compiled.
1691
Entity  (Architecture ) compiled.
1692
Compiling vhdl file
1693
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1694
l/vhdl/pselect.vhd" in Library proc_common_v3_00_a.
1695
Entity  compiled.
1696
Entity  (Architecture ) compiled.
1697
Compiling vhdl file
1698
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1699
l/vhdl/pselect_mask.vhd" in Library proc_common_v3_00_a.
1700
Entity  compiled.
1701
Entity  (Architecture ) compiled.
1702
Compiling vhdl file
1703
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1704
l/vhdl/srl16_fifo.vhd" in Library proc_common_v3_00_a.
1705
Entity  compiled.
1706
Entity  (Architecture ) compiled.
1707
Compiling vhdl file
1708
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1709
l/vhdl/srl_fifo.vhd" in Library proc_common_v3_00_a.
1710
Entity  compiled.
1711
Entity  (Architecture ) compiled.
1712
Compiling vhdl file
1713
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1714
l/vhdl/srl_fifo2.vhd" in Library proc_common_v3_00_a.
1715
Entity  compiled.
1716
Entity  (Architecture ) compiled.
1717
Compiling vhdl file
1718
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1719
l/vhdl/srl_fifo3.vhd" in Library proc_common_v3_00_a.
1720
Entity  compiled.
1721
Entity  (Architecture ) compiled.
1722
Compiling vhdl file
1723
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1724
l/vhdl/srl_fifo_rbu.vhd" in Library proc_common_v3_00_a.
1725
Entity  compiled.
1726
Entity  (Architecture ) compiled.
1727
Compiling vhdl file
1728
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1729
l/vhdl/valid_be.vhd" in Library proc_common_v3_00_a.
1730
Entity  compiled.
1731
Entity  (Architecture ) compiled.
1732
Compiling vhdl file
1733
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1734
l/vhdl/or_with_enable_f.vhd" in Library proc_common_v3_00_a.
1735
Entity  compiled.
1736
Entity  (Architecture ) compiled.
1737
Compiling vhdl file
1738
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1739
l/vhdl/dynshreg_i_f.vhd" in Library proc_common_v3_00_a.
1740
Entity  compiled.
1741
Entity  (Architecture ) compiled.
1742
Compiling vhdl file
1743
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1744
l/vhdl/mux_onehot_f.vhd" in Library proc_common_v3_00_a.
1745
Entity  compiled.
1746
Entity  (Architecture ) compiled.
1747
Compiling vhdl file
1748
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1749
l/vhdl/srl_fifo_f.vhd" in Library proc_common_v3_00_a.
1750
Entity  compiled.
1751
Entity  (Architecture ) compiled.
1752
Compiling vhdl file
1753
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1754
l/vhdl/compare_vectors_f.vhd" in Library proc_common_v3_00_a.
1755
Entity  compiled.
1756
Entity  (Architecture ) compiled.
1757
Compiling vhdl file
1758
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1759
l/vhdl/counter_f.vhd" in Library proc_common_v3_00_a.
1760
Entity  compiled.
1761
Entity  (Architecture ) compiled.
1762
Compiling vhdl file
1763
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1764
l/vhdl/or_gate_f.vhd" in Library proc_common_v3_00_a.
1765
Entity  compiled.
1766
Entity  (Architecture ) compiled.
1767
Compiling vhdl file
1768
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1769
l/vhdl/soft_reset.vhd" in Library proc_common_v3_00_a.
1770
Entity  compiled.
1771
Entity  (Architecture ) compiled.
1772
Compiling vhdl file
1773
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1774
l/vhdl/pselect_f.vhd" in Library proc_common_v3_00_a.
1775
Entity  compiled.
1776
Entity  (Architecture ) compiled.
1777
Compiling vhdl file
1778
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1779
l/vhdl/or_gate128.vhd" in Library proc_common_v3_00_a.
1780
Entity  compiled.
1781
Entity  (Architecture ) compiled.
1782
Compiling vhdl file
1783
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1784
l/vhdl/ipif_pkg.vhd" in Library proc_common_v3_00_a.
1785
Package  compiled.
1786
Package body  compiled.
1787
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/baud.vhd" in
1788
Library uart_plb_v1_00_a.
1789
Entity  compiled.
1790
Entity  (Architecture ) compiled.
1791
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/tx.vhd" in
1792
Library uart_plb_v1_00_a.
1793
Entity  compiled.
1794
Entity  (Architecture ) compiled.
1795
Compiling vhdl file
1796
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/fifo_generator_v8_1_8x16.vhd" in
1797
Library uart_plb_v1_00_a.
1798
Entity  compiled.
1799
Entity  (Architecture )
1800
compiled.
1801
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/tmo.vhd" in
1802
Library uart_plb_v1_00_a.
1803
Entity  compiled.
1804
Entity  (Architecture ) compiled.
1805
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/rx.vhd" in
1806
Library uart_plb_v1_00_a.
1807
Entity  compiled.
1808
Entity  (Architecture ) compiled.
1809
Compiling vhdl file
1810
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1
1811
_01_a/hdl/vhdl/plb_address_decoder.vhd" in Library plbv46_slave_single_v1_01_a.
1812
Entity  compiled.
1813
Entity  (Architecture ) compiled.
1814
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart.vhd" in
1815
Library uart_plb_v1_00_a.
1816
Entity  compiled.
1817
Entity  (Architecture ) compiled.
1818
Compiling vhdl file
1819
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1
1820
_01_a/hdl/vhdl/plb_slave_attachment.vhd" in Library plbv46_slave_single_v1_01_a.
1821
Entity  compiled.
1822
Entity  (Architecture ) compiled.
1823
Compiling vhdl file
1824
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/interrupt_control_v2_0
1825
1_a/hdl/vhdl/interrupt_control.vhd" in Library interrupt_control_v2_01_a.
1826
Entity  compiled.
1827
Entity  (Architecture ) compiled.
1828
Compiling vhdl file
1829
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1
1830
_01_a/hdl/vhdl/plbv46_slave_single.vhd" in Library plbv46_slave_single_v1_01_a.
1831
Entity  compiled.
1832
Entity  (Architecture ) compiled.
1833
Compiling vhdl file
1834
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/user_logic.vhd" in Library
1835
uart_plb_v1_00_a.
1836
Entity  compiled.
1837
Entity  (Architecture ) compiled.
1838
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart_plb.vhd"
1839
in Library uart_plb_v1_00_a.
1840
Entity  compiled.
1841
ERROR:HDLParsers:3312 -
1842
   "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart_plb.vhd" Line 490.
1843
   Undefined symbol 'tx_sout'.
1844
ERROR:HDLParsers:1209 -
1845
   "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart_plb.vhd" Line 490.
1846
   tx_sout: Undefined symbol (last report in this block)
1847
ERROR:HDLParsers:3312 -
1848
   "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart_plb.vhd" Line 491.
1849
   Undefined symbol 'rx_sin'.
1850
ERROR:HDLParsers:1209 -
1851
   "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart_plb.vhd" Line 491. rx_sin:
1852
   Undefined symbol (last report in this block)
1853
ERROR:HDLParsers:851 -
1854
   "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart_plb.vhd" Line 476. Formal
1855
   rx_sin of entity with no default value must be associated with an actual
1856
   value.
1857
ERROR:EDK:2121 - Parse Errors encountered in HDL source
1858
WARNING:EDK:3590 - Unable to delete temporary project file
1859
   C:\uart_plb\pcores\uart_plb.prj : 13
1860
HDL language for the peripheral (top level) design unit uart_plb is vhdl ...
1861
WARNING:EDK:2221 - Project file C:\uart_plb\pcores/uart_plb.prj already exists,
1862
   will be overwrite and removed afterward ...
1863
resolving hierarchical inclusion of library proc_common_v3_00_a in
1864
C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/ ...
1865
resolving hierarchical inclusion of library plbv46_slave_single_v1_01_a in
1866
C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/ ...
1867
resolving hierarchical inclusion of library interrupt_control_v2_01_a in
1868
C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/ ...
1869
INFO:EDK:3391 - Create temporary xst project file:
1870
   C:\uart_plb\pcores/uart_plb.prj
1871
Compiling vhdl file
1872
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1873
l/vhdl/family_support.vhd" in Library proc_common_v3_00_a.
1874
Package  compiled.
1875
Package body  compiled.
1876
Compiling vhdl file
1877
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1878
l/vhdl/proc_common_pkg.vhd" in Library proc_common_v3_00_a.
1879
Package  compiled.
1880
Package body  compiled.
1881
Compiling vhdl file
1882
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1883
l/vhdl/inferred_lut4.vhd" in Library proc_common_v3_00_a.
1884
Entity  compiled.
1885
Entity  (Architecture ) compiled.
1886
Compiling vhdl file
1887
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1888
l/vhdl/muxf_struct_f.vhd" in Library proc_common_v3_00_a.
1889
Entity  compiled.
1890
Entity  (Architecture ) compiled.
1891
Entity  compiled.
1892
Entity  (Architecture ) compiled.
1893
Compiling vhdl file
1894
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1895
l/vhdl/pf_counter_bit.vhd" in Library proc_common_v3_00_a.
1896
Entity  compiled.
1897
Entity  (Architecture ) compiled.
1898
Compiling vhdl file
1899
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1900
l/vhdl/cntr_incr_decr_addn_f.vhd" in Library proc_common_v3_00_a.
1901
Entity  compiled.
1902
Entity  (Architecture ) compiled.
1903
Compiling vhdl file
1904
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1905
l/vhdl/dynshreg_f.vhd" in Library proc_common_v3_00_a.
1906
Entity  compiled.
1907
Entity  (Architecture ) compiled.
1908
Compiling vhdl file
1909
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1910
l/vhdl/pf_adder_bit.vhd" in Library proc_common_v3_00_a.
1911
Entity  compiled.
1912
Entity  (Architecture ) compiled.
1913
Compiling vhdl file
1914
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1915
l/vhdl/pf_counter.vhd" in Library proc_common_v3_00_a.
1916
Entity  compiled.
1917
Entity  (Architecture ) compiled.
1918
Compiling vhdl file
1919
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1920
l/vhdl/pf_occ_counter.vhd" in Library proc_common_v3_00_a.
1921
Entity  compiled.
1922
Entity  (Architecture ) compiled.
1923
Compiling vhdl file
1924
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1925
l/vhdl/counter_bit.vhd" in Library proc_common_v3_00_a.
1926
Entity  compiled.
1927
Entity  (Architecture ) compiled.
1928
Compiling vhdl file
1929
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1930
l/vhdl/or_muxcy.vhd" in Library proc_common_v3_00_a.
1931
Entity  compiled.
1932
Entity  (Architecture ) compiled.
1933
Compiling vhdl file
1934
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1935
l/vhdl/or_muxcy_f.vhd" in Library proc_common_v3_00_a.
1936
Entity  compiled.
1937
Entity  (Architecture ) compiled.
1938
Compiling vhdl file
1939
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1940
l/vhdl/srl_fifo_rbu_f.vhd" in Library proc_common_v3_00_a.
1941
Entity  compiled.
1942
Entity  (Architecture ) compiled.
1943
Compiling vhdl file
1944
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1945
l/vhdl/family.vhd" in Library proc_common_v3_00_a.
1946
Package  compiled.
1947
Package body  compiled.
1948
Compiling vhdl file
1949
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1950
l/vhdl/pf_occ_counter_top.vhd" in Library proc_common_v3_00_a.
1951
Entity  compiled.
1952
Entity  (Architecture ) compiled.
1953
Compiling vhdl file
1954
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1955
l/vhdl/pf_counter_top.vhd" in Library proc_common_v3_00_a.
1956
Entity  compiled.
1957
Entity  (Architecture ) compiled.
1958
Compiling vhdl file
1959
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1960
l/vhdl/pf_adder.vhd" in Library proc_common_v3_00_a.
1961
Entity  compiled.
1962
Entity  (Architecture ) compiled.
1963
Compiling vhdl file
1964
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1965
l/vhdl/counter.vhd" in Library proc_common_v3_00_a.
1966
Entity  compiled.
1967
Entity  (Architecture ) compiled.
1968
Compiling vhdl file
1969
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1970
l/vhdl/coregen_comp_defs.vhd" in Library proc_common_v3_00_a.
1971
Package  compiled.
1972
Compiling vhdl file
1973
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart_components.vhd" in Library
1974
uart_plb_v1_00_a.
1975
Package  compiled.
1976
Package body  compiled.
1977
Compiling vhdl file
1978
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1979
l/vhdl/common_types_pkg.vhd" in Library proc_common_v3_00_a.
1980
Package  compiled.
1981
Package body  compiled.
1982
Compiling vhdl file
1983
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1984
l/vhdl/conv_funs_pkg.vhd" in Library proc_common_v3_00_a.
1985
Package  compiled.
1986
Package body  compiled.
1987
Compiling vhdl file
1988
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1989
l/vhdl/async_fifo_fg.vhd" in Library proc_common_v3_00_a.
1990
Entity  compiled.
1991
Entity  (Architecture ) compiled.
1992
Compiling vhdl file
1993
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1994
l/vhdl/sync_fifo_fg.vhd" in Library proc_common_v3_00_a.
1995
Entity  compiled.
1996
Entity  (Architecture ) compiled.
1997
Compiling vhdl file
1998
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
1999
l/vhdl/blk_mem_gen_wrapper.vhd" in Library proc_common_v3_00_a.
2000
Entity  compiled.
2001
Entity  (Architecture ) compiled.
2002
Compiling vhdl file
2003
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
2004
l/vhdl/addsub.vhd" in Library proc_common_v3_00_a.
2005
Entity  compiled.
2006
Entity  (Architecture ) compiled.
2007
Compiling vhdl file
2008
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
2009
l/vhdl/direct_path_cntr.vhd" in Library proc_common_v3_00_a.
2010
Entity  compiled.
2011
Entity  (Architecture ) compiled.
2012
Compiling vhdl file
2013
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
2014
l/vhdl/direct_path_cntr_ai.vhd" in Library proc_common_v3_00_a.
2015
Entity  compiled.
2016
Entity  (Architecture ) compiled.
2017
Compiling vhdl file
2018
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
2019
l/vhdl/down_counter.vhd" in Library proc_common_v3_00_a.
2020
Entity  compiled.
2021
Entity  (Architecture ) compiled.
2022
Compiling vhdl file
2023
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
2024
l/vhdl/eval_timer.vhd" in Library proc_common_v3_00_a.
2025
Entity  compiled.
2026
Entity  (Architecture ) compiled.
2027
Compiling vhdl file
2028
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
2029
l/vhdl/ipif_steer.vhd" in Library proc_common_v3_00_a.
2030
Entity  compiled.
2031
Entity  (Architecture ) compiled.
2032
Compiling vhdl file
2033
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
2034
l/vhdl/ipif_steer128.vhd" in Library proc_common_v3_00_a.
2035
Entity  compiled.
2036
Entity  (Architecture ) compiled.
2037
Compiling vhdl file
2038
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
2039
l/vhdl/ipif_mirror128.vhd" in Library proc_common_v3_00_a.
2040
Entity  compiled.
2041
Entity  (Architecture ) compiled.
2042
Compiling vhdl file
2043
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
2044
l/vhdl/ld_arith_reg.vhd" in Library proc_common_v3_00_a.
2045
Entity  compiled.
2046
Entity  (Architecture ) compiled.
2047
Compiling vhdl file
2048
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
2049
l/vhdl/ld_arith_reg2.vhd" in Library proc_common_v3_00_a.
2050
Entity  compiled.
2051
Entity  (Architecture ) compiled.
2052
Compiling vhdl file
2053
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
2054
l/vhdl/mux_onehot.vhd" in Library proc_common_v3_00_a.
2055
Entity  compiled.
2056
Entity  (Architecture ) compiled.
2057
Compiling vhdl file
2058
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
2059
l/vhdl/or_bits.vhd" in Library proc_common_v3_00_a.
2060
Entity  compiled.
2061
Entity  (Architecture ) compiled.
2062
Compiling vhdl file
2063
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
2064
l/vhdl/or_gate.vhd" in Library proc_common_v3_00_a.
2065
Entity  compiled.
2066
Entity  (Architecture ) compiled.
2067
Compiling vhdl file
2068
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
2069
l/vhdl/pf_dpram_select.vhd" in Library proc_common_v3_00_a.
2070
Entity  compiled.
2071
Entity  (Architecture ) compiled.
2072
Compiling vhdl file
2073
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
2074
l/vhdl/pselect.vhd" in Library proc_common_v3_00_a.
2075
Entity  compiled.
2076
Entity  (Architecture ) compiled.
2077
Compiling vhdl file
2078
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
2079
l/vhdl/pselect_mask.vhd" in Library proc_common_v3_00_a.
2080
Entity  compiled.
2081
Entity  (Architecture ) compiled.
2082
Compiling vhdl file
2083
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
2084
l/vhdl/srl16_fifo.vhd" in Library proc_common_v3_00_a.
2085
Entity  compiled.
2086
Entity  (Architecture ) compiled.
2087
Compiling vhdl file
2088
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
2089
l/vhdl/srl_fifo.vhd" in Library proc_common_v3_00_a.
2090
Entity  compiled.
2091
Entity  (Architecture ) compiled.
2092
Compiling vhdl file
2093
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
2094
l/vhdl/srl_fifo2.vhd" in Library proc_common_v3_00_a.
2095
Entity  compiled.
2096
Entity  (Architecture ) compiled.
2097
Compiling vhdl file
2098
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
2099
l/vhdl/srl_fifo3.vhd" in Library proc_common_v3_00_a.
2100
Entity  compiled.
2101
Entity  (Architecture ) compiled.
2102
Compiling vhdl file
2103
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
2104
l/vhdl/srl_fifo_rbu.vhd" in Library proc_common_v3_00_a.
2105
Entity  compiled.
2106
Entity  (Architecture ) compiled.
2107
Compiling vhdl file
2108
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
2109
l/vhdl/valid_be.vhd" in Library proc_common_v3_00_a.
2110
Entity  compiled.
2111
Entity  (Architecture ) compiled.
2112
Compiling vhdl file
2113
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
2114
l/vhdl/or_with_enable_f.vhd" in Library proc_common_v3_00_a.
2115
Entity  compiled.
2116
Entity  (Architecture ) compiled.
2117
Compiling vhdl file
2118
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
2119
l/vhdl/dynshreg_i_f.vhd" in Library proc_common_v3_00_a.
2120
Entity  compiled.
2121
Entity  (Architecture ) compiled.
2122
Compiling vhdl file
2123
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
2124
l/vhdl/mux_onehot_f.vhd" in Library proc_common_v3_00_a.
2125
Entity  compiled.
2126
Entity  (Architecture ) compiled.
2127
Compiling vhdl file
2128
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
2129
l/vhdl/srl_fifo_f.vhd" in Library proc_common_v3_00_a.
2130
Entity  compiled.
2131
Entity  (Architecture ) compiled.
2132
Compiling vhdl file
2133
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
2134
l/vhdl/compare_vectors_f.vhd" in Library proc_common_v3_00_a.
2135
Entity  compiled.
2136
Entity  (Architecture ) compiled.
2137
Compiling vhdl file
2138
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
2139
l/vhdl/counter_f.vhd" in Library proc_common_v3_00_a.
2140
Entity  compiled.
2141
Entity  (Architecture ) compiled.
2142
Compiling vhdl file
2143
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
2144
l/vhdl/or_gate_f.vhd" in Library proc_common_v3_00_a.
2145
Entity  compiled.
2146
Entity  (Architecture ) compiled.
2147
Compiling vhdl file
2148
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
2149
l/vhdl/soft_reset.vhd" in Library proc_common_v3_00_a.
2150
Entity  compiled.
2151
Entity  (Architecture ) compiled.
2152
Compiling vhdl file
2153
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
2154
l/vhdl/pselect_f.vhd" in Library proc_common_v3_00_a.
2155
Entity  compiled.
2156
Entity  (Architecture ) compiled.
2157
Compiling vhdl file
2158
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
2159
l/vhdl/or_gate128.vhd" in Library proc_common_v3_00_a.
2160
Entity  compiled.
2161
Entity  (Architecture ) compiled.
2162
Compiling vhdl file
2163
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/proc_common_v3_00_a/hd
2164
l/vhdl/ipif_pkg.vhd" in Library proc_common_v3_00_a.
2165
Package  compiled.
2166
Package body  compiled.
2167
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/baud.vhd" in
2168
Library uart_plb_v1_00_a.
2169
Entity  compiled.
2170
Entity  (Architecture ) compiled.
2171
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/tx.vhd" in
2172
Library uart_plb_v1_00_a.
2173
Entity  compiled.
2174
Entity  (Architecture ) compiled.
2175
Compiling vhdl file
2176
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/fifo_generator_v8_1_8x16.vhd" in
2177
Library uart_plb_v1_00_a.
2178
Entity  compiled.
2179
Entity  (Architecture )
2180
compiled.
2181
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/tmo.vhd" in
2182
Library uart_plb_v1_00_a.
2183
Entity  compiled.
2184
Entity  (Architecture ) compiled.
2185
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/rx.vhd" in
2186
Library uart_plb_v1_00_a.
2187
Entity  compiled.
2188
Entity  (Architecture ) compiled.
2189
Compiling vhdl file
2190
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1
2191
_01_a/hdl/vhdl/plb_address_decoder.vhd" in Library plbv46_slave_single_v1_01_a.
2192
Entity  compiled.
2193
Entity  (Architecture ) compiled.
2194
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart.vhd" in
2195
Library uart_plb_v1_00_a.
2196
Entity  compiled.
2197
Entity  (Architecture ) compiled.
2198
Compiling vhdl file
2199
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1
2200
_01_a/hdl/vhdl/plb_slave_attachment.vhd" in Library plbv46_slave_single_v1_01_a.
2201
Entity  compiled.
2202
Entity  (Architecture ) compiled.
2203
Compiling vhdl file
2204
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/interrupt_control_v2_0
2205
1_a/hdl/vhdl/interrupt_control.vhd" in Library interrupt_control_v2_01_a.
2206
Entity  compiled.
2207
Entity  (Architecture ) compiled.
2208
Compiling vhdl file
2209
"C:/Xilinx/13.1/ISE_DS/EDK/hw/XilinxProcessorIPLib/pcores/plbv46_slave_single_v1
2210
_01_a/hdl/vhdl/plbv46_slave_single.vhd" in Library plbv46_slave_single_v1_01_a.
2211
Entity  compiled.
2212
Entity  (Architecture ) compiled.
2213
Compiling vhdl file
2214
"C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/user_logic.vhd" in Library
2215
uart_plb_v1_00_a.
2216
Entity  compiled.
2217
Entity  (Architecture ) compiled.
2218
Compiling vhdl file "C:/uart_plb/pcores/uart_plb_v1_00_a/hdl/vhdl/uart_plb.vhd"
2219
in Library uart_plb_v1_00_a.
2220
Entity  compiled.
2221
Entity  (Architecture ) compiled.
2222
 
2223
 
2224
Analyzing HDL attributes ...
2225
Entity name = uart_plb
2226
INFO:EDK:1607 - IPTYPE set to value : PERIPHERAL
2227
INFO:EDK:1511 - IMP_NETLIST set to value : TRUE
2228
INFO:EDK:1486 - HDL set to value : VHDL
2229
WARNING:EDK:3590 - Unable to delete temporary project file
2230
   C:\uart_plb\pcores\uart_plb.prj : 13
2231
WARNING:EDK:2140 - Peripheral name mismatch, no MPD merge will be processed!
2232
 
2233
WARNING:EDK:2065 - PARAMETER:_NUM_SLAVES - SLAVE PLBV46 parameter is not defined
2234
   in the HDL source
2235
INFO:EDK:1631 - Infer bus clock [SPLB_Clk] for bus interface SPLB ...
2236
Copying file uart_components.vhd to
2237
C:\uart_plb\pcores/uart_plb_v1_00_a/hdl/vhdl/ ...
2238
Copying file baud.vhd to C:\uart_plb\pcores/uart_plb_v1_00_a/hdl/vhdl/ ...
2239
Copying file tmo.vhd to C:\uart_plb\pcores/uart_plb_v1_00_a/hdl/vhdl/ ...
2240
Copying file fifo_generator_v8_1_8x16.vhd to
2241
C:\uart_plb\pcores/uart_plb_v1_00_a/hdl/vhdl/ ...
2242
Copying file rx.vhd to C:\uart_plb\pcores/uart_plb_v1_00_a/hdl/vhdl/ ...
2243
Copying file tx.vhd to C:\uart_plb\pcores/uart_plb_v1_00_a/hdl/vhdl/ ...
2244
Copying file uart.vhd to C:\uart_plb\pcores/uart_plb_v1_00_a/hdl/vhdl/ ...
2245
Copying file user_logic.vhd to C:\uart_plb\pcores/uart_plb_v1_00_a/hdl/vhdl/ ...
2246
Copying file uart_plb.vhd to C:\uart_plb\pcores/uart_plb_v1_00_a/hdl/vhdl/ ...
2247
 
2248
Thank you for using Create and Import Peripheral Wizard! Please find your
2249
imported peripheral under C:\uart_plb\pcores\uart_plb_v1_00_a.
2250
 
2251
Summary:
2252
 
2253
  Logical library     : uart_plb_v1_00_a
2254
  Version             : 1.00.a
2255
  Bus interface(s)    : SPLB
2256
 
2257
The following sub-directories will be created:
2258
 
2259
  - uart_plb_v1_00_a\data
2260
  - uart_plb_v1_00_a\doc
2261
  - uart_plb_v1_00_a\hdl
2262
  - uart_plb_v1_00_a\hdl\vhdl
2263
  - uart_plb_v1_00_a\netlist
2264
 
2265
 
2266
The following HDL source files will be copied into the uart_plb_v1_00_a\hdl\vhdl
2267
directory:
2268
 
2269
  - uart_components.vhd
2270
  - baud.vhd
2271
  - tmo.vhd
2272
  - fifo_generator_v8_1_8x16.vhd
2273
  - rx.vhd
2274
  - tx.vhd
2275
  - uart.vhd
2276
  - user_logic.vhd
2277
  - uart_plb.vhd
2278
 
2279
The following files will be created under the uart_plb_v1_00_a\data directory:
2280
 
2281
  - uart_plb_v2_1_0.mpd
2282
  - uart_plb_v2_1_0.pao
2283
 
2284
  - uart_plb_v2_1_0.bbd
2285
 
2286
The following netlist file(s) will be copied into the uart_plb_v1_00_a\netlist
2287
directory:
2288
 
2289
  - fifo_generator_v8_1_8x16.ngc
2290
 
2291
The following document file(s) will be copied into the uart_plb_v1_00_a\doc
2292
directory:
2293
 
2294
  - readme.txt
2295
 
2296
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.