OpenCores
URL https://opencores.org/ocsvn/udp_ipv4_for_10g_ethernet/udp_ipv4_for_10g_ethernet/trunk

Subversion Repositories udp_ipv4_for_10g_ethernet

[/] [udp_ipv4_for_10g_ethernet/] [trunk/] [xgui/] [udp_ip_10g_v1_0.tcl] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 DFC
# Definitional proc to organize widgets for parameters.
2
proc init_gui { IPINST } {
3
  ipgui::add_param $IPINST -name "Component_Name"
4
  #Adding Page
5
  set Page_0 [ipgui::add_page $IPINST -name "Page 0"]
6
  set g_tx_dfifo_depth [ipgui::add_param $IPINST -name "g_tx_dfifo_depth" -parent ${Page_0}]
7
  set_property tooltip {FIFO must be larger than MTU (width is 8 bytes)} ${g_tx_dfifo_depth}
8
  set g_tx_tfifo_depth [ipgui::add_param $IPINST -name "g_tx_tfifo_depth" -parent ${Page_0}]
9
  set_property tooltip {One record (depth level) for one outstanding datagram} ${g_tx_tfifo_depth}
10
  set g_rx_dfifo_depth [ipgui::add_param $IPINST -name "g_rx_dfifo_depth" -parent ${Page_0}]
11
  set_property tooltip {FIFO must be larger than MTU (width is 8 bytes)} ${g_rx_dfifo_depth}
12
  set g_rx_tfifo_depth [ipgui::add_param $IPINST -name "g_rx_tfifo_depth" -parent ${Page_0}]
13
  set_property tooltip {Three records (depth levels) are needed for each received packet in RX FIFO} ${g_rx_tfifo_depth}
14
  ipgui::add_param $IPINST -name "g_rx_tfifo_type" -parent ${Page_0} -widget comboBox
15
  ipgui::add_param $IPINST -name "g_rx_dfifo_type" -parent ${Page_0} -widget comboBox
16
  ipgui::add_param $IPINST -name "g_tx_tfifo_type" -parent ${Page_0} -widget comboBox
17
  ipgui::add_param $IPINST -name "g_tx_dfifo_type" -parent ${Page_0} -widget comboBox
18
 
19
 
20
}
21
 
22
proc update_PARAM_VALUE.g_rx_dfifo_depth { PARAM_VALUE.g_rx_dfifo_depth } {
23
        # Procedure called to update g_rx_dfifo_depth when any of the dependent parameters in the arguments change
24
}
25
 
26
proc validate_PARAM_VALUE.g_rx_dfifo_depth { PARAM_VALUE.g_rx_dfifo_depth } {
27
        # Procedure called to validate g_rx_dfifo_depth
28
        return true
29
}
30
 
31
proc update_PARAM_VALUE.g_rx_dfifo_type { PARAM_VALUE.g_rx_dfifo_type } {
32
        # Procedure called to update g_rx_dfifo_type when any of the dependent parameters in the arguments change
33
}
34
 
35
proc validate_PARAM_VALUE.g_rx_dfifo_type { PARAM_VALUE.g_rx_dfifo_type } {
36
        # Procedure called to validate g_rx_dfifo_type
37
        return true
38
}
39
 
40
proc update_PARAM_VALUE.g_rx_tfifo_depth { PARAM_VALUE.g_rx_tfifo_depth } {
41
        # Procedure called to update g_rx_tfifo_depth when any of the dependent parameters in the arguments change
42
}
43
 
44
proc validate_PARAM_VALUE.g_rx_tfifo_depth { PARAM_VALUE.g_rx_tfifo_depth } {
45
        # Procedure called to validate g_rx_tfifo_depth
46
        return true
47
}
48
 
49
proc update_PARAM_VALUE.g_rx_tfifo_type { PARAM_VALUE.g_rx_tfifo_type } {
50
        # Procedure called to update g_rx_tfifo_type when any of the dependent parameters in the arguments change
51
}
52
 
53
proc validate_PARAM_VALUE.g_rx_tfifo_type { PARAM_VALUE.g_rx_tfifo_type } {
54
        # Procedure called to validate g_rx_tfifo_type
55
        return true
56
}
57
 
58
proc update_PARAM_VALUE.g_tx_dfifo_depth { PARAM_VALUE.g_tx_dfifo_depth } {
59
        # Procedure called to update g_tx_dfifo_depth when any of the dependent parameters in the arguments change
60
}
61
 
62
proc validate_PARAM_VALUE.g_tx_dfifo_depth { PARAM_VALUE.g_tx_dfifo_depth } {
63
        # Procedure called to validate g_tx_dfifo_depth
64
        return true
65
}
66
 
67
proc update_PARAM_VALUE.g_tx_dfifo_type { PARAM_VALUE.g_tx_dfifo_type } {
68
        # Procedure called to update g_tx_dfifo_type when any of the dependent parameters in the arguments change
69
}
70
 
71
proc validate_PARAM_VALUE.g_tx_dfifo_type { PARAM_VALUE.g_tx_dfifo_type } {
72
        # Procedure called to validate g_tx_dfifo_type
73
        return true
74
}
75
 
76
proc update_PARAM_VALUE.g_tx_tfifo_depth { PARAM_VALUE.g_tx_tfifo_depth } {
77
        # Procedure called to update g_tx_tfifo_depth when any of the dependent parameters in the arguments change
78
}
79
 
80
proc validate_PARAM_VALUE.g_tx_tfifo_depth { PARAM_VALUE.g_tx_tfifo_depth } {
81
        # Procedure called to validate g_tx_tfifo_depth
82
        return true
83
}
84
 
85
proc update_PARAM_VALUE.g_tx_tfifo_type { PARAM_VALUE.g_tx_tfifo_type } {
86
        # Procedure called to update g_tx_tfifo_type when any of the dependent parameters in the arguments change
87
}
88
 
89
proc validate_PARAM_VALUE.g_tx_tfifo_type { PARAM_VALUE.g_tx_tfifo_type } {
90
        # Procedure called to validate g_tx_tfifo_type
91
        return true
92
}
93
 
94
 
95
proc update_MODELPARAM_VALUE.g_tx_dfifo_depth { MODELPARAM_VALUE.g_tx_dfifo_depth PARAM_VALUE.g_tx_dfifo_depth } {
96
        # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
97
        set_property value [get_property value ${PARAM_VALUE.g_tx_dfifo_depth}] ${MODELPARAM_VALUE.g_tx_dfifo_depth}
98
}
99
 
100
proc update_MODELPARAM_VALUE.g_tx_tfifo_depth { MODELPARAM_VALUE.g_tx_tfifo_depth PARAM_VALUE.g_tx_tfifo_depth } {
101
        # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
102
        set_property value [get_property value ${PARAM_VALUE.g_tx_tfifo_depth}] ${MODELPARAM_VALUE.g_tx_tfifo_depth}
103
}
104
 
105
proc update_MODELPARAM_VALUE.g_rx_dfifo_depth { MODELPARAM_VALUE.g_rx_dfifo_depth PARAM_VALUE.g_rx_dfifo_depth } {
106
        # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
107
        set_property value [get_property value ${PARAM_VALUE.g_rx_dfifo_depth}] ${MODELPARAM_VALUE.g_rx_dfifo_depth}
108
}
109
 
110
proc update_MODELPARAM_VALUE.g_rx_tfifo_depth { MODELPARAM_VALUE.g_rx_tfifo_depth PARAM_VALUE.g_rx_tfifo_depth } {
111
        # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
112
        set_property value [get_property value ${PARAM_VALUE.g_rx_tfifo_depth}] ${MODELPARAM_VALUE.g_rx_tfifo_depth}
113
}
114
 
115
proc update_MODELPARAM_VALUE.g_tx_dfifo_type { MODELPARAM_VALUE.g_tx_dfifo_type PARAM_VALUE.g_tx_dfifo_type } {
116
        # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
117
        set_property value [get_property value ${PARAM_VALUE.g_tx_dfifo_type}] ${MODELPARAM_VALUE.g_tx_dfifo_type}
118
}
119
 
120
proc update_MODELPARAM_VALUE.g_tx_tfifo_type { MODELPARAM_VALUE.g_tx_tfifo_type PARAM_VALUE.g_tx_tfifo_type } {
121
        # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
122
        set_property value [get_property value ${PARAM_VALUE.g_tx_tfifo_type}] ${MODELPARAM_VALUE.g_tx_tfifo_type}
123
}
124
 
125
proc update_MODELPARAM_VALUE.g_rx_dfifo_type { MODELPARAM_VALUE.g_rx_dfifo_type PARAM_VALUE.g_rx_dfifo_type } {
126
        # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
127
        set_property value [get_property value ${PARAM_VALUE.g_rx_dfifo_type}] ${MODELPARAM_VALUE.g_rx_dfifo_type}
128
}
129
 
130
proc update_MODELPARAM_VALUE.g_rx_tfifo_type { MODELPARAM_VALUE.g_rx_tfifo_type PARAM_VALUE.g_rx_tfifo_type } {
131
        # Procedure called to set VHDL generic/Verilog parameter value(s) based on TCL parameter value
132
        set_property value [get_property value ${PARAM_VALUE.g_rx_tfifo_type}] ${MODELPARAM_VALUE.g_rx_tfifo_type}
133
}
134
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.