OpenCores
URL https://opencores.org/ocsvn/ulpi_wrapper/ulpi_wrapper/trunk

Subversion Repositories ulpi_wrapper

[/] [ulpi_wrapper/] [trunk/] [rtl/] [ulpi_wrapper.v] - Blame information for rev 4

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ultra_embe
//-----------------------------------------------------------------
2
//                        ULPI (Link) Wrapper
3 4 ultra_embe
//                              V1.0
4 2 ultra_embe
//                        Ultra-Embedded.com
5 4 ultra_embe
//                        Copyright 2015-2018
6 2 ultra_embe
//
7
//                 Email: admin@ultra-embedded.com
8
//
9
//                         License: GPL
10
// If you would like a version with a more permissive license for
11
// use in closed source commercial applications please contact me
12
// for details.
13
//-----------------------------------------------------------------
14
//
15
// This file is open source HDL; you can redistribute it and/or 
16
// modify it under the terms of the GNU General Public License as 
17
// published by the Free Software Foundation; either version 2 of 
18
// the License, or (at your option) any later version.
19
//
20
// This file is distributed in the hope that it will be useful,
21
// but WITHOUT ANY WARRANTY; without even the implied warranty of
22
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
23
// GNU General Public License for more details.
24
//
25
// You should have received a copy of the GNU General Public 
26
// License along with this file; if not, write to the Free Software
27
// Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307
28
// USA
29
//-----------------------------------------------------------------
30
 
31
//-----------------------------------------------------------------
32
// Module: UTMI+ to ULPI Wrapper
33
//
34
// Description:
35
//   - Converts from UTMI interface to reduced pin count ULPI.
36
//   - No support for low power mode.
37
//   - I/O synchronous to 60MHz ULPI clock input (from PHY)
38
//   - Tested against SMSC/Microchip USB3300 in device mode.
39
//-----------------------------------------------------------------
40
module ulpi_wrapper
41
(
42
    // ULPI Interface (PHY)
43
    input             ulpi_clk60_i,
44
    input             ulpi_rst_i,
45 4 ultra_embe
    input  [7:0]      ulpi_data_out_i,
46
    output [7:0]      ulpi_data_in_o,
47 2 ultra_embe
    input             ulpi_dir_i,
48
    input             ulpi_nxt_i,
49
    output            ulpi_stp_o,
50
 
51
    // UTMI Interface (SIE)
52
    input             utmi_txvalid_i,
53
    output            utmi_txready_o,
54
    output            utmi_rxvalid_o,
55
    output            utmi_rxactive_o,
56
    output            utmi_rxerror_o,
57 4 ultra_embe
    output [7:0]      utmi_data_in_o,
58
    input  [7:0]      utmi_data_out_i,
59 2 ultra_embe
    input  [1:0]      utmi_xcvrselect_i,
60
    input             utmi_termselect_i,
61 4 ultra_embe
    input  [1:0]      utmi_op_mode_i,
62 2 ultra_embe
    input             utmi_dppulldown_i,
63
    input             utmi_dmpulldown_i,
64
    output [1:0]      utmi_linestate_o
65
);
66
 
67
//-----------------------------------------------------------------
68
// States
69
//-----------------------------------------------------------------
70
localparam STATE_W          = 2;
71
localparam STATE_IDLE       = 2'd0;
72
localparam STATE_CMD        = 2'd1;
73
localparam STATE_DATA       = 2'd2;
74 3 ultra_embe
localparam STATE_REG        = 2'd3;
75 2 ultra_embe
 
76
reg [STATE_W-1:0]   state_q;
77
 
78
//-----------------------------------------------------------------
79 3 ultra_embe
// Local Params
80
//-----------------------------------------------------------------
81
localparam REG_FUNC_CTRL = 8'h84;
82
localparam REG_OTG_CTRL  = 8'h8a;
83
localparam REG_TRANSMIT  = 8'h40;
84
localparam REG_WRITE     = 8'h80;
85
localparam REG_READ      = 8'hC0;
86
 
87
//-----------------------------------------------------------------
88 2 ultra_embe
// UTMI Mode Select
89
//-----------------------------------------------------------------
90
reg         mode_update_q;
91
reg [1:0]   xcvrselect_q;
92
reg         termselect_q;
93
reg [1:0]   opmode_q;
94
reg         phy_reset_q;
95
 
96
always @ (posedge ulpi_clk60_i or posedge ulpi_rst_i)
97
if (ulpi_rst_i)
98
begin
99
    mode_update_q   <= 1'b0;
100
    xcvrselect_q    <= 2'b0;
101
    termselect_q    <= 1'b0;
102
    opmode_q        <= 2'b11;
103
    phy_reset_q     <= 1'b1;
104
end
105
else
106
begin
107
    xcvrselect_q    <= utmi_xcvrselect_i;
108
    termselect_q    <= utmi_termselect_i;
109 4 ultra_embe
    opmode_q        <= utmi_op_mode_i;
110 2 ultra_embe
 
111 4 ultra_embe
    if (mode_update_q && (state_q == STATE_CMD) && (ulpi_data_in_o == REG_FUNC_CTRL))
112 2 ultra_embe
    begin
113
        mode_update_q <= 1'b0;
114
        phy_reset_q   <= 1'b0;
115
    end
116 4 ultra_embe
    else if (opmode_q     != utmi_op_mode_i     ||
117 2 ultra_embe
             termselect_q != utmi_termselect_i ||
118
             xcvrselect_q != utmi_xcvrselect_i)
119
        mode_update_q <= 1'b1;
120
end
121
 
122
//-----------------------------------------------------------------
123
// UTMI OTG Control
124
//-----------------------------------------------------------------
125
reg otg_update_q;
126
reg dppulldown_q;
127
reg dmpulldown_q;
128
 
129
always @ (posedge ulpi_clk60_i or posedge ulpi_rst_i)
130
if (ulpi_rst_i)
131
begin
132
    otg_update_q    <= 1'b0;
133
    dppulldown_q    <= 1'b1;
134
    dmpulldown_q    <= 1'b1;
135
end
136
else
137
begin
138
    dppulldown_q    <= utmi_dppulldown_i;
139
    dmpulldown_q    <= utmi_dmpulldown_i;
140
 
141 4 ultra_embe
    if (otg_update_q && (state_q == STATE_CMD) && (ulpi_data_in_o == REG_OTG_CTRL))
142 2 ultra_embe
        otg_update_q <= 1'b0;
143
    else if (dppulldown_q != utmi_dppulldown_i ||
144
             dmpulldown_q != utmi_dmpulldown_i)
145
        otg_update_q <= 1'b1;
146
end
147
 
148
//-----------------------------------------------------------------
149
// Bus turnaround detect
150
//-----------------------------------------------------------------
151
reg ulpi_dir_q;
152
 
153
always @ (posedge ulpi_clk60_i or posedge ulpi_rst_i)
154
if (ulpi_rst_i)
155
    ulpi_dir_q <= 1'b0;
156
else
157
    ulpi_dir_q <= ulpi_dir_i;
158
 
159
wire turnaround_w = ulpi_dir_q ^ ulpi_dir_i;
160
 
161
//-----------------------------------------------------------------
162
// Rx - Tx delay
163
//-----------------------------------------------------------------
164
localparam TX_DELAY_W       = 3;
165
localparam TX_START_DELAY   = 3'd7;
166
 
167
reg [TX_DELAY_W-1:0] tx_delay_q;
168
 
169
always @ (posedge ulpi_clk60_i or posedge ulpi_rst_i)
170
if (ulpi_rst_i)
171
    tx_delay_q <= {TX_DELAY_W{1'b0}};
172
else if (utmi_rxactive_o)
173
    tx_delay_q <= TX_START_DELAY;
174
else if (tx_delay_q != {TX_DELAY_W{1'b0}})
175
    tx_delay_q <= tx_delay_q - 1;
176
 
177
wire tx_delay_complete_w = (tx_delay_q == {TX_DELAY_W{1'b0}});
178
 
179
//-----------------------------------------------------------------
180
// Tx Buffer - decouple UTMI Tx from PHY I/O
181
//-----------------------------------------------------------------
182
reg [7:0] tx_buffer_q[0:1];
183
reg       tx_valid_q[0:1];
184
reg       tx_wr_idx_q;
185
reg       tx_rd_idx_q;
186
 
187
wire      utmi_tx_ready_w;
188
wire      utmi_tx_accept_w;
189
 
190
always @ (posedge ulpi_clk60_i or posedge ulpi_rst_i)
191
if (ulpi_rst_i)
192
begin
193
    tx_buffer_q[0] <= 8'b0;
194
    tx_buffer_q[1] <= 8'b0;
195
    tx_valid_q[0]  <= 1'b0;
196
    tx_valid_q[1]  <= 1'b0;
197
    tx_wr_idx_q    <= 1'b0;
198
    tx_rd_idx_q    <= 1'b0;
199
end
200
else
201
begin
202
    // Push
203
    if (utmi_txvalid_i && utmi_txready_o)
204
    begin
205 4 ultra_embe
        tx_buffer_q[tx_wr_idx_q] <= utmi_data_out_i;
206 2 ultra_embe
        tx_valid_q[tx_wr_idx_q]  <= 1'b1;
207
 
208
        tx_wr_idx_q <= tx_wr_idx_q + 1'b1;
209
    end
210
 
211
    // Pop
212
    if (utmi_tx_ready_w && utmi_tx_accept_w)
213
    begin
214
        tx_valid_q[tx_rd_idx_q]  <= 1'b0;
215
        tx_rd_idx_q <= tx_rd_idx_q + 1'b1;
216
    end
217
end
218
 
219
// Tx buffer space (only accept after Rx->Tx turnaround delay)
220
assign utmi_txready_o  = ~tx_valid_q[tx_wr_idx_q] & tx_delay_complete_w;
221
 
222
assign utmi_tx_ready_w = tx_valid_q[tx_rd_idx_q];
223
 
224
wire [7:0] utmi_tx_data_w = tx_buffer_q[tx_rd_idx_q];
225
 
226
//-----------------------------------------------------------------
227
// Implementation
228
//-----------------------------------------------------------------
229
 
230
// Xilinx placement pragmas:
231
//synthesis attribute IOB of ulpi_data_q is "TRUE"
232
//synthesis attribute IOB of ulpi_stp_q is "TRUE"
233
 
234
reg [7:0]           ulpi_data_q;
235
reg                 ulpi_stp_q;
236
reg [7:0]           data_q;
237
 
238
reg                 utmi_rxvalid_q;
239
reg                 utmi_rxerror_q;
240
reg                 utmi_rxactive_q;
241
reg [1:0]           utmi_linestate_q;
242
reg [7:0]           utmi_data_q;
243
 
244
always @ (posedge ulpi_clk60_i or posedge ulpi_rst_i)
245 4 ultra_embe
if (ulpi_rst_i)
246 2 ultra_embe
begin
247 4 ultra_embe
    state_q             <= STATE_IDLE;
248
    ulpi_data_q         <= 8'b0;
249
    data_q              <= 8'b0;
250
    ulpi_stp_q          <= 1'b1;
251
 
252
    utmi_rxvalid_q      <= 1'b0;
253
    utmi_rxerror_q      <= 1'b0;
254
    utmi_rxactive_q     <= 1'b0;
255
    utmi_linestate_q    <= 2'b0;
256
    utmi_data_q         <= 8'b0;
257
end
258
else
259
begin
260
    ulpi_stp_q          <= 1'b0;
261
    utmi_rxvalid_q      <= 1'b0;
262
 
263
    // Turnaround: Input + NXT - set RX_ACTIVE
264
    if (turnaround_w && ulpi_dir_i && ulpi_nxt_i)
265 2 ultra_embe
    begin
266 4 ultra_embe
        utmi_rxactive_q <= 1'b1;
267 2 ultra_embe
    end
268 4 ultra_embe
    // Turnaround: Input -> Output - reset RX_ACTIVE
269
    else if (turnaround_w && !ulpi_dir_i)
270 2 ultra_embe
    begin
271 4 ultra_embe
        utmi_rxactive_q <= 1'b0;
272
    end
273
    // Non-turnaround cycle
274
    else if (!turnaround_w)
275
    begin
276
        //-----------------------------------------------------------------
277
        // Input: RX_CMD (status)
278
        //-----------------------------------------------------------------
279
        if (ulpi_dir_i && !ulpi_nxt_i)
280
        begin
281
            // Phy status
282
            utmi_linestate_q <= ulpi_data_out_i[1:0];
283 2 ultra_embe
 
284 4 ultra_embe
            case (ulpi_data_out_i[5:4])
285
            2'b00:
286
            begin
287
                utmi_rxactive_q <= 1'b0;
288
                utmi_rxerror_q  <= 1'b0;
289
            end
290
            2'b01:
291
            begin
292
                utmi_rxactive_q <= 1'b1;
293
                utmi_rxerror_q  <= 1'b0;
294
            end
295
            2'b11:
296
            begin
297
                utmi_rxactive_q <= 1'b1;
298
                utmi_rxerror_q  <= 1'b1;
299
            end
300
            default:
301
                ; // HOST_DISCONNECTED
302
            endcase
303
        end
304
        //-----------------------------------------------------------------
305
        // Input: RX_DATA
306
        //-----------------------------------------------------------------
307
        else if (ulpi_dir_i && ulpi_nxt_i)
308 2 ultra_embe
        begin
309 4 ultra_embe
            utmi_rxvalid_q  <= 1'b1;
310
            utmi_data_q     <= ulpi_data_out_i;
311
        end
312
        //-----------------------------------------------------------------
313
        // Output
314
        //-----------------------------------------------------------------
315
        else if (!ulpi_dir_i)
316
        begin
317
            // IDLE: Pending mode update
318
            if ((state_q == STATE_IDLE) && mode_update_q)
319 2 ultra_embe
            begin
320 4 ultra_embe
                data_q      <= {1'b0, 1'b1, phy_reset_q, opmode_q, termselect_q, xcvrselect_q};
321
                ulpi_data_q <= REG_FUNC_CTRL;
322 2 ultra_embe
 
323 4 ultra_embe
                state_q     <= STATE_CMD;
324
            end
325
            // IDLE: Pending OTG control update
326
            else if ((state_q == STATE_IDLE) && otg_update_q)
327
            begin
328
                data_q      <= {5'b0, dmpulldown_q, dppulldown_q, 1'b0};
329
                ulpi_data_q <= REG_OTG_CTRL;
330 2 ultra_embe
 
331 4 ultra_embe
                state_q     <= STATE_CMD;
332 2 ultra_embe
            end
333 4 ultra_embe
            // IDLE: Pending transmit
334
            else if ((state_q == STATE_IDLE) && utmi_tx_ready_w)
335 3 ultra_embe
            begin
336 4 ultra_embe
                ulpi_data_q <= REG_TRANSMIT | {4'b0, utmi_tx_data_w[3:0]};
337
                state_q     <= STATE_DATA;
338 3 ultra_embe
            end
339 4 ultra_embe
            // Command
340
            else if ((state_q == STATE_CMD) && ulpi_nxt_i)
341
            begin
342
                // Write Register
343
                state_q     <= STATE_REG;
344
                ulpi_data_q <= data_q;
345
            end
346
            // Data (register write)
347
            else if (state_q == STATE_REG && ulpi_nxt_i)
348
            begin
349
                state_q       <= STATE_IDLE;
350
                ulpi_data_q   <= 8'b0;  // IDLE
351
                ulpi_stp_q    <= 1'b1;
352
            end
353
            // Data
354
            else if (state_q == STATE_DATA && ulpi_nxt_i)
355
            begin
356
                // End of packet
357
                if (!utmi_tx_ready_w)
358 2 ultra_embe
                begin
359 3 ultra_embe
                    state_q       <= STATE_IDLE;
360
                    ulpi_data_q   <= 8'b0;  // IDLE
361
                    ulpi_stp_q    <= 1'b1;
362
                end
363 4 ultra_embe
                else
364 2 ultra_embe
                begin
365 4 ultra_embe
                    state_q        <= STATE_DATA;
366
                    ulpi_data_q    <= utmi_tx_data_w;
367 2 ultra_embe
                end
368
            end
369
        end
370 4 ultra_embe
    end
371 2 ultra_embe
end
372
 
373
// Accept from buffer
374 4 ultra_embe
assign utmi_tx_accept_w = ((state_q == STATE_IDLE) && !(mode_update_q || otg_update_q || turnaround_w) && !ulpi_dir_i) ||
375 3 ultra_embe
                          (state_q == STATE_DATA && ulpi_nxt_i && !ulpi_dir_i);
376 2 ultra_embe
 
377
//-----------------------------------------------------------------
378
// Assignments
379
//-----------------------------------------------------------------
380
// ULPI Interface
381 4 ultra_embe
assign ulpi_data_in_o       = ulpi_data_q;
382 2 ultra_embe
assign ulpi_stp_o           = ulpi_stp_q;
383
 
384
// UTMI Interface
385
assign utmi_linestate_o     = utmi_linestate_q;
386 4 ultra_embe
assign utmi_data_in_o       = utmi_data_q;
387 2 ultra_embe
assign utmi_rxerror_o       = utmi_rxerror_q;
388
assign utmi_rxactive_o      = utmi_rxactive_q;
389
assign utmi_rxvalid_o       = utmi_rxvalid_q;
390
 
391 4 ultra_embe
 
392
 
393 2 ultra_embe
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.