OpenCores
URL https://opencores.org/ocsvn/ulpi_wrapper/ulpi_wrapper/trunk

Subversion Repositories ulpi_wrapper

[/] [ulpi_wrapper/] [trunk/] [testbench/] [tb_top.v] - Blame information for rev 3

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 ultra_embe
`timescale 1ns / 1ns
2
 
3
//-----------------------------------------------------------------
4
// Module:  Auto generated top
5
//-----------------------------------------------------------------
6
module tb_top();
7
 
8
reg          ulpi_clk60_i;
9
reg          ulpi_rst_i;
10
reg  [7:0]   ulpi_data_i;
11
wire [7:0]   ulpi_data_o;
12
reg          ulpi_dir_i;
13
reg          ulpi_nxt_i;
14
wire         ulpi_stp_o;
15
reg  [7:0]   reg_addr_i;
16
reg          reg_stb_i;
17
reg          reg_we_i;
18
reg  [7:0]   reg_data_i;
19
wire [7:0]   reg_data_o;
20
wire         reg_ack_o;
21
reg          utmi_txvalid_i;
22
wire         utmi_txready_o;
23
wire         utmi_rxvalid_o;
24
wire         utmi_rxactive_o;
25
wire         utmi_rxerror_o;
26
wire [7:0]   utmi_data_o;
27
reg  [7:0]   utmi_data_i;
28
reg  [1:0]   utmi_xcvrselect_i;
29
reg          utmi_termselect_i;
30
reg  [1:0]   utmi_opmode_i;
31
reg          utmi_dppulldown_i;
32
reg          utmi_dmpulldown_i;
33
wire [1:0]   utmi_linestate_o;
34
 
35
//-----------------------------------------------------------------
36
// DUT
37
//-----------------------------------------------------------------
38
ulpi_wrapper dut
39
(
40
      .ulpi_clk60_i(ulpi_clk60_i)
41
    , .ulpi_rst_i(ulpi_rst_i)
42
    , .ulpi_data_i(ulpi_data_i)
43
    , .ulpi_data_o(ulpi_data_o)
44
    , .ulpi_dir_i(ulpi_dir_i)
45
    , .ulpi_nxt_i(ulpi_nxt_i)
46
    , .ulpi_stp_o(ulpi_stp_o)
47
    , .reg_addr_i(reg_addr_i)
48
    , .reg_stb_i(reg_stb_i)
49
    , .reg_we_i(reg_we_i)
50
    , .reg_data_i(reg_data_i)
51
    , .reg_data_o(reg_data_o)
52
    , .reg_ack_o(reg_ack_o)
53
    , .utmi_txvalid_i(utmi_txvalid_i)
54
    , .utmi_txready_o(utmi_txready_o)
55
    , .utmi_rxvalid_o(utmi_rxvalid_o)
56
    , .utmi_rxactive_o(utmi_rxactive_o)
57
    , .utmi_rxerror_o(utmi_rxerror_o)
58
    , .utmi_data_o(utmi_data_o)
59
    , .utmi_data_i(utmi_data_i)
60
    , .utmi_xcvrselect_i(utmi_xcvrselect_i)
61
    , .utmi_termselect_i(utmi_termselect_i)
62
    , .utmi_opmode_i(utmi_opmode_i)
63
    , .utmi_dppulldown_i(utmi_dppulldown_i)
64
    , .utmi_dmpulldown_i(utmi_dmpulldown_i)
65
    , .utmi_linestate_o(utmi_linestate_o)
66
);
67
 
68
//-----------------------------------------------------------------
69
// Trace
70
//-----------------------------------------------------------------
71
initial
72
begin
73
    if (`TRACE)
74
    begin
75
        $dumpfile("waveform.vcd");
76
        $dumpvars(0,tb_top);
77
    end
78
end
79
 
80
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.