OpenCores
URL https://opencores.org/ocsvn/ulpi_wrapper/ulpi_wrapper/trunk

Subversion Repositories ulpi_wrapper

[/] [ulpi_wrapper/] [trunk/] [testbench/] [tb_top.v] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 ultra_embe
`timescale 1ns / 1ns
2
 
3
//-----------------------------------------------------------------
4
// Module:  Auto generated top
5
//-----------------------------------------------------------------
6
module tb_top();
7
 
8
reg          ulpi_clk60_i;
9
reg          ulpi_rst_i;
10
reg  [7:0]   ulpi_data_i;
11
wire [7:0]   ulpi_data_o;
12
reg          ulpi_dir_i;
13
reg          ulpi_nxt_i;
14
wire         ulpi_stp_o;
15
reg  [7:0]   reg_addr_i;
16
reg          reg_stb_i;
17
reg          reg_we_i;
18
reg  [7:0]   reg_data_i;
19
wire [7:0]   reg_data_o;
20
wire         reg_ack_o;
21
reg          utmi_txvalid_i;
22
wire         utmi_txready_o;
23
wire         utmi_rxvalid_o;
24
wire         utmi_rxactive_o;
25
wire         utmi_rxerror_o;
26
wire [7:0]   utmi_data_o;
27
reg  [7:0]   utmi_data_i;
28
reg  [1:0]   utmi_xcvrselect_i;
29
reg          utmi_termselect_i;
30
reg  [1:0]   utmi_opmode_i;
31
reg          utmi_dppulldown_i;
32
reg          utmi_dmpulldown_i;
33
wire [1:0]   utmi_linestate_o;
34
 
35
//-----------------------------------------------------------------
36
// DUT
37
//-----------------------------------------------------------------
38
ulpi_wrapper dut
39
(
40
      .ulpi_clk60_i(ulpi_clk60_i)
41
    , .ulpi_rst_i(ulpi_rst_i)
42 4 ultra_embe
    , .ulpi_data_out_i(ulpi_data_i)
43
    , .ulpi_data_in_o(ulpi_data_o)
44 3 ultra_embe
    , .ulpi_dir_i(ulpi_dir_i)
45
    , .ulpi_nxt_i(ulpi_nxt_i)
46
    , .ulpi_stp_o(ulpi_stp_o)
47
    , .utmi_txvalid_i(utmi_txvalid_i)
48
    , .utmi_txready_o(utmi_txready_o)
49
    , .utmi_rxvalid_o(utmi_rxvalid_o)
50
    , .utmi_rxactive_o(utmi_rxactive_o)
51
    , .utmi_rxerror_o(utmi_rxerror_o)
52 4 ultra_embe
    , .utmi_data_in_o(utmi_data_o)
53
    , .utmi_data_out_i(utmi_data_i)
54 3 ultra_embe
    , .utmi_xcvrselect_i(utmi_xcvrselect_i)
55
    , .utmi_termselect_i(utmi_termselect_i)
56 4 ultra_embe
    , .utmi_op_mode_i(utmi_opmode_i)
57 3 ultra_embe
    , .utmi_dppulldown_i(utmi_dppulldown_i)
58
    , .utmi_dmpulldown_i(utmi_dmpulldown_i)
59
    , .utmi_linestate_o(utmi_linestate_o)
60
);
61
 
62
//-----------------------------------------------------------------
63
// Trace
64
//-----------------------------------------------------------------
65
initial
66
begin
67
    if (`TRACE)
68
    begin
69
        $dumpfile("waveform.vcd");
70
        $dumpvars(0,tb_top);
71
    end
72
end
73
 
74
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.