OpenCores
URL https://opencores.org/ocsvn/ulpi_wrapper/ulpi_wrapper/trunk

Subversion Repositories ulpi_wrapper

[/] [ulpi_wrapper/] [trunk/] [testbench/] [ulpi_wrapper_tb.h] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 ultra_embe
#ifndef ULPI_WRAPPER_TB_H
2
#define ULPI_WRAPPER_TB_H
3
 
4
#include "sc_vpi_clock.h"
5
#include "ulpi_wrapper_vpi.h"
6
 
7
#include "ulpi_driver.h"
8
#include "utmi_driver.h"
9
 
10
class ulpi_wrapper_tb: public sc_module
11
{
12
public:
13
    SC_HAS_PROCESS(ulpi_wrapper_tb);
14
 
15
    sc_signal< bool > ulpi_rst_i;
16
    sc_signal< sc_uint<8> > ulpi_data_i;
17
    sc_signal< sc_uint<8> > ulpi_data_o;
18
    sc_signal< bool > ulpi_dir_i;
19
    sc_signal< bool > ulpi_nxt_i;
20
    sc_signal< bool > ulpi_stp_o;
21
    sc_signal< bool > utmi_txvalid_i;
22
    sc_signal< bool > utmi_txready_o;
23
    sc_signal< bool > utmi_rxvalid_o;
24
    sc_signal< bool > utmi_rxactive_o;
25
    sc_signal< bool > utmi_rxerror_o;
26
    sc_signal< sc_uint<8> > utmi_data_o;
27
    sc_signal< sc_uint<8> > utmi_data_i;
28
    sc_signal< sc_uint<2> > utmi_xcvrselect_i;
29
    sc_signal< bool > utmi_termselect_i;
30
    sc_signal< sc_uint<2> > utmi_opmode_i;
31
    sc_signal< bool > utmi_dppulldown_i;
32
    sc_signal< bool > utmi_dmpulldown_i;
33
    sc_signal< sc_uint<2> > utmi_linestate_o;
34
 
35
    ulpi_wrapper_tb(sc_module_name name): sc_module(name),
36
                m_dut("tb_top"),
37
                m_vpi_clk("tb_top.ulpi_clk60_i"),
38 4 ultra_embe
                m_ulpi("m_ulpi"), m_utmi("m_utmi"),
39 3 ultra_embe
                m_phy_link_queue(2048), m_link_phy_queue(2048)
40
    {
41
        m_dut.ulpi_clk60_i(m_vpi_clk.m_clk);
42
        m_dut.ulpi_rst_i(ulpi_rst_i);
43
        m_dut.ulpi_data_i(ulpi_data_i);
44
        m_dut.ulpi_data_o(ulpi_data_o);
45
        m_dut.ulpi_dir_i(ulpi_dir_i);
46
        m_dut.ulpi_nxt_i(ulpi_nxt_i);
47
        m_dut.ulpi_stp_o(ulpi_stp_o);
48
        m_dut.utmi_txvalid_i(utmi_txvalid_i);
49
        m_dut.utmi_txready_o(utmi_txready_o);
50
        m_dut.utmi_rxvalid_o(utmi_rxvalid_o);
51
        m_dut.utmi_rxactive_o(utmi_rxactive_o);
52
        m_dut.utmi_rxerror_o(utmi_rxerror_o);
53
        m_dut.utmi_data_o(utmi_data_o);
54
        m_dut.utmi_data_i(utmi_data_i);
55
        m_dut.utmi_xcvrselect_i(utmi_xcvrselect_i);
56
        m_dut.utmi_termselect_i(utmi_termselect_i);
57
        m_dut.utmi_opmode_i(utmi_opmode_i);
58
        m_dut.utmi_dppulldown_i(utmi_dppulldown_i);
59
        m_dut.utmi_dmpulldown_i(utmi_dmpulldown_i);
60
        m_dut.utmi_linestate_o(utmi_linestate_o);
61
 
62
        m_ulpi.clk_i(m_vpi_clk.m_clk);
63
        m_ulpi.rst_i(ulpi_rst_i);
64
 
65
        m_ulpi.ulpi_data_o(ulpi_data_i);
66
        m_ulpi.ulpi_data_i(ulpi_data_o);
67
        m_ulpi.ulpi_dir_o(ulpi_dir_i);
68
        m_ulpi.ulpi_nxt_o(ulpi_nxt_i);
69
        m_ulpi.ulpi_stp_i(ulpi_stp_o);
70
 
71
        m_utmi.clk_i(m_vpi_clk.m_clk);
72
        m_utmi.rst_i(ulpi_rst_i);
73
 
74
        m_utmi.utmi_txvalid_o(utmi_txvalid_i);
75
        m_utmi.utmi_data_o(utmi_data_i);
76
        m_utmi.utmi_txready_i(utmi_txready_o);
77
 
78
        m_utmi.utmi_data_i(utmi_data_o);
79
        m_utmi.utmi_rxvalid_i(utmi_rxvalid_o);
80
        m_utmi.utmi_rxactive_i(utmi_rxactive_o);
81
 
82
        SC_CTHREAD(testbench, m_vpi_clk.m_clk);
83
        SC_CTHREAD(phy_tx, m_vpi_clk.m_clk);
84
        SC_CTHREAD(phy_rx, m_vpi_clk.m_clk);
85
        SC_CTHREAD(link_rx, m_vpi_clk.m_clk);
86
        SC_CTHREAD(link_tx, m_vpi_clk.m_clk);
87
    }
88
 
89
    ulpi_wrapper_vpi m_dut;
90
 
91
    sc_vpi_clock m_vpi_clk;
92
 
93
    ulpi_driver m_ulpi;
94
    utmi_driver m_utmi;
95
 
96
    sc_fifo < sc_uint <9> > m_phy_link_queue;
97
    sc_fifo < sc_uint <9> > m_link_phy_queue;
98
    sc_mutex                m_mutex;
99
 
100
    void testbench(void);
101
    void phy_tx(void);
102
    void phy_rx(void);
103
    void link_rx(void);
104
    void link_tx(void);
105
};
106
 
107
#endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.