OpenCores
URL https://opencores.org/ocsvn/ulpi_wrapper/ulpi_wrapper/trunk

Subversion Repositories ulpi_wrapper

[/] [ulpi_wrapper/] [trunk/] [testbench/] [ulpi_wrapper_vpi.h] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 ultra_embe
#ifndef ULPI_WRAPPER_VPI_H
2
#define ULPI_WRAPPER_VPI_H
3
 
4
#include "sc_vpi_module.h"
5
 
6
class ulpi_wrapper_vpi: public sc_vpi_module
7
{
8
public:
9
    sc_in <bool> ulpi_clk60_i;
10
    sc_in <bool> ulpi_rst_i;
11
    sc_in <sc_uint<8> > ulpi_data_i;
12
    sc_out <sc_uint<8> > ulpi_data_o;
13
    sc_in <bool> ulpi_dir_i;
14
    sc_in <bool> ulpi_nxt_i;
15
    sc_out <bool> ulpi_stp_o;
16
    sc_in <bool> utmi_txvalid_i;
17
    sc_out <bool> utmi_txready_o;
18
    sc_out <bool> utmi_rxvalid_o;
19
    sc_out <bool> utmi_rxactive_o;
20
    sc_out <bool> utmi_rxerror_o;
21
    sc_out <sc_uint<8> > utmi_data_o;
22
    sc_in <sc_uint<8> > utmi_data_i;
23
    sc_in <sc_uint<2> > utmi_xcvrselect_i;
24
    sc_in <bool> utmi_termselect_i;
25
    sc_in <sc_uint<2> > utmi_opmode_i;
26
    sc_in <bool> utmi_dppulldown_i;
27
    sc_in <bool> utmi_dmpulldown_i;
28
    sc_out <sc_uint<2> > utmi_linestate_o;
29
 
30
    void read_outputs(void)
31
    {
32
        sc_vpi_module_read_output_int(ulpi_data_o, "ulpi_data_o");
33
        sc_vpi_module_read_output_int(ulpi_stp_o, "ulpi_stp_o");
34
        sc_vpi_module_read_output_int(utmi_txready_o, "utmi_txready_o");
35
        sc_vpi_module_read_output_int(utmi_rxvalid_o, "utmi_rxvalid_o");
36
        sc_vpi_module_read_output_int(utmi_rxactive_o, "utmi_rxactive_o");
37
        sc_vpi_module_read_output_int(utmi_rxerror_o, "utmi_rxerror_o");
38
        sc_vpi_module_read_output_int(utmi_data_o, "utmi_data_o");
39
        sc_vpi_module_read_output_int(utmi_linestate_o, "utmi_linestate_o");
40
    }
41
 
42
    void write_inputs(void)
43
    {
44
        sc_vpi_module_write_input_int(ulpi_clk60_i, "ulpi_clk60_i");
45
        sc_vpi_module_write_input_int(ulpi_rst_i, "ulpi_rst_i");
46
        sc_vpi_module_write_input_int(ulpi_data_i, "ulpi_data_i");
47
        sc_vpi_module_write_input_int(ulpi_dir_i, "ulpi_dir_i");
48
        sc_vpi_module_write_input_int(ulpi_nxt_i, "ulpi_nxt_i");
49
        sc_vpi_module_write_input_int(utmi_txvalid_i, "utmi_txvalid_i");
50
        sc_vpi_module_write_input_int(utmi_data_i, "utmi_data_i");
51
        sc_vpi_module_write_input_int(utmi_xcvrselect_i, "utmi_xcvrselect_i");
52
        sc_vpi_module_write_input_int(utmi_termselect_i, "utmi_termselect_i");
53
        sc_vpi_module_write_input_int(utmi_opmode_i, "utmi_opmode_i");
54
        sc_vpi_module_write_input_int(utmi_dppulldown_i, "utmi_dppulldown_i");
55
        sc_vpi_module_write_input_int(utmi_dmpulldown_i, "utmi_dmpulldown_i");
56
    }
57
 
58
    ulpi_wrapper_vpi(sc_module_name name):
59
                                    sc_vpi_module(name)
60
                                  , ulpi_clk60_i ("ulpi_clk60_i")
61
                                  , ulpi_rst_i ("ulpi_rst_i")
62
                                  , ulpi_data_i ("ulpi_data_i")
63
                                  , ulpi_data_o ("ulpi_data_o")
64
                                  , ulpi_dir_i ("ulpi_dir_i")
65
                                  , ulpi_nxt_i ("ulpi_nxt_i")
66
                                  , ulpi_stp_o ("ulpi_stp_o")
67
                                  , utmi_txvalid_i ("utmi_txvalid_i")
68
                                  , utmi_txready_o ("utmi_txready_o")
69
                                  , utmi_rxvalid_o ("utmi_rxvalid_o")
70
                                  , utmi_rxactive_o ("utmi_rxactive_o")
71
                                  , utmi_rxerror_o ("utmi_rxerror_o")
72
                                  , utmi_data_o ("utmi_data_o")
73
                                  , utmi_data_i ("utmi_data_i")
74
                                  , utmi_xcvrselect_i ("utmi_xcvrselect_i")
75
                                  , utmi_termselect_i ("utmi_termselect_i")
76
                                  , utmi_opmode_i ("utmi_opmode_i")
77
                                  , utmi_dppulldown_i ("utmi_dppulldown_i")
78
                                  , utmi_dmpulldown_i ("utmi_dmpulldown_i")
79
                                  , utmi_linestate_o ("utmi_linestate_o")
80
    {
81
        register_signal("ulpi_clk60_i");
82
        register_signal("ulpi_rst_i");
83
        register_signal("ulpi_data_i");
84
        register_signal("ulpi_data_o");
85
        register_signal("ulpi_dir_i");
86
        register_signal("ulpi_nxt_i");
87
        register_signal("ulpi_stp_o");
88
        register_signal("utmi_txvalid_i");
89
        register_signal("utmi_txready_o");
90
        register_signal("utmi_rxvalid_o");
91
        register_signal("utmi_rxactive_o");
92
        register_signal("utmi_rxerror_o");
93
        register_signal("utmi_data_o");
94
        register_signal("utmi_data_i");
95
        register_signal("utmi_xcvrselect_i");
96
        register_signal("utmi_termselect_i");
97
        register_signal("utmi_opmode_i");
98
        register_signal("utmi_dppulldown_i");
99
        register_signal("utmi_dmpulldown_i");
100
        register_signal("utmi_linestate_o");
101
    }
102
};
103
 
104
#endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.