OpenCores
URL https://opencores.org/ocsvn/unconfuser/unconfuser/trunk

Subversion Repositories unconfuser

[/] [unconfuser/] [trunk/] [top.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 protik
//
2
// This is the top level of the unconfuser test bench
3
//
4
 
5
`timescale 1ns/100ps
6
 
7
 
8
module top ();
9
 
10
reg clk;
11
 
12
reg [31:0] sid0=5714;
13
  //Pratik
14
reg [31:0] sid1=3538;
15
  //Rohini
16
reg [31:0] sid2=4956;
17
  //Peter
18
reg [31:0] sid3=5714;
19
  //Pratik
20
reg [31:0] sid4=3538;
21
  //Rohini
22
 
23
wire reset,push;
24
wire [7:0] din,dout;
25
wire [6:0] Caddr;
26
wire [7:0] Cdata;
27
wire Cpush;
28
wire pushout,stopout;
29
wire [7:0] mdin1,mdout1,mdin2,mdout2;
30
wire mwrite1,mwrite2;
31
wire [15:0] maddrw1,maddrr1,maddrw2,maddrr2;
32
 
33
mem64kx8 m1(clk,maddrw1,mdin1,mwrite1,maddrr1,mdout1);
34
mem64kx8 m2(clk,maddrw2,mdin2,mwrite2,maddrr2,mdout2);
35
 
36
ctb t(clk,reset,push,din,Caddr,Cdata,Cpush,pushout,stopout,dout,sid0,sid1,
37
                sid2,sid3,sid4);
38
 
39
unConfuser u(clk,reset,push,din,Caddr,Cdata,Cpush,pushout,stopout,dout
40
        ,mdin1,maddrw1,mwrite1,mdout1,maddrr1
41
        ,mdin2,maddrw2,mwrite2,mdout2,maddrr2
42
);
43
 
44
//unConfuser u(din, push, clk, reset, dout, pushout, stopout, Caddr, Cdata, Cpush);
45
 
46
initial begin
47
        clk=0;
48
        #8;
49
        forever begin
50
           #8;
51
           clk=!clk;
52
        end
53
end
54
 
55
//initial begin
56
//  $dumpfile("test.dump");
57
//  $dumpvars(10,top);
58
//end
59
 
60
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.