OpenCores
URL https://opencores.org/ocsvn/usb_fpga_1_11/usb_fpga_1_11/trunk

Subversion Repositories usb_fpga_1_11

[/] [usb_fpga_1_11/] [trunk/] [constraints/] [usb-fpga-2.13.xdc] - Blame information for rev 8

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 ZTEX
# !!! Constraint files are application specific !!!
2
# !!!          This is a template only          !!!
3
 
4
# on-board signals
5
 
6
# CLKOUT/FXCLK
7
create_clock -name fxclk_in -period 20.833 [get_ports fxclk_in]
8
set_property PACKAGE_PIN P15 [get_ports fxclk_in]
9
set_property IOSTANDARD LVCMOS33 [get_ports fxclk_in]
10
 
11
# IFCLK
12
create_clock -name ifclk_in -period 20.833 [get_ports ifclk_in]
13
set_property PACKAGE_PIN P17 [get_ports ifclk_in]
14
set_property IOSTANDARD LVCMOS33 [get_ports ifclk_in]
15
 
16
 
17
# PB0/FD0
18
set_property PACKAGE_PIN M16 [get_ports {PB[0]}]
19
set_property IOSTANDARD LVCMOS33 [get_ports {PB[0]}]
20
 
21
# PB1/FD1
22
set_property PACKAGE_PIN L16 [get_ports {PB[1]}]
23
set_property IOSTANDARD LVCMOS33 [get_ports {PB[1]}]
24
 
25
# PB2/FD2
26
set_property PACKAGE_PIN L14 [get_ports {PB[2]}]
27
set_property IOSTANDARD LVCMOS33 [get_ports {PB[2]}]
28
 
29
# PB3/FD3
30
set_property PACKAGE_PIN M14 [get_ports {PB[3]}]
31
set_property IOSTANDARD LVCMOS33 [get_ports {PB[3]}]
32
 
33
# PB4/FD4
34
set_property PACKAGE_PIN L18 [get_ports {PB[4]}]
35
set_property IOSTANDARD LVCMOS33 [get_ports {PB[4]}]
36
 
37
# PB5/FD5
38
set_property PACKAGE_PIN M18 [get_ports {PB[5]}]
39
set_property IOSTANDARD LVCMOS33 [get_ports {PB[5]}]
40
 
41
# PB6/FD6
42
set_property PACKAGE_PIN R12 [get_ports {PB[6]}]
43
set_property IOSTANDARD LVCMOS33 [get_ports {PB[6]}]
44
 
45
# PB7/FD7
46
set_property PACKAGE_PIN R13 [get_ports {PB[7]}]
47
set_property IOSTANDARD LVCMOS33 [get_ports {PB[7]}]
48
 
49
 
50
# PD0/FD8
51
set_property PACKAGE_PIN T9 [get_ports {PD[0]}]
52
set_property IOSTANDARD LVCMOS33 [get_ports {PD[0]}]
53
 
54
# PD1/FD9
55
set_property PACKAGE_PIN V10 [get_ports {PD[1]}]
56
set_property IOSTANDARD LVCMOS33 [get_ports {PD[1]}]
57
 
58
# PD2/FD10
59
set_property PACKAGE_PIN U11 [get_ports {PD[2]}]
60
set_property IOSTANDARD LVCMOS33 [get_ports {PD[2]}]
61
 
62
# PD3/FD11
63
set_property PACKAGE_PIN V11 [get_ports {PD[3]}]
64
set_property IOSTANDARD LVCMOS33 [get_ports {PD[3]}]
65
 
66
# PD4/FD12
67
set_property PACKAGE_PIN V12 [get_ports {PD[4]}]
68
set_property IOSTANDARD LVCMOS33 [get_ports {PD[4]}]
69
 
70
# PD5/FD13
71
set_property PACKAGE_PIN U13 [get_ports {PD[5]}]
72
set_property IOSTANDARD LVCMOS33 [get_ports {PD[5]}]
73
 
74
# PD6/FD14
75
set_property PACKAGE_PIN U14 [get_ports {PD[6]}]
76
set_property IOSTANDARD LVCMOS33 [get_ports {PD[6]}]
77
 
78
# PD7/FD15
79
set_property PACKAGE_PIN V14 [get_ports {PD[7]}]
80
set_property IOSTANDARD LVCMOS33 [get_ports {PD[7]}]
81
 
82
 
83
# PA0/INT0#
84
set_property PACKAGE_PIN R15 [get_ports {PA[0]}]
85
set_property IOSTANDARD LVCMOS33 [get_ports {PA[0]}]
86
 
87
# PA1/INT1#
88
set_property PACKAGE_PIN T15 [get_ports {PA[1]}]
89
set_property IOSTANDARD LVCMOS33 [get_ports {PA[1]}]
90
 
91
# PA2/SLOE
92
set_property PACKAGE_PIN T14 [get_ports {PA[2]}]
93
set_property IOSTANDARD LVCMOS33 [get_ports {PA[2]}]
94
 
95
# PA3/WU2
96
set_property PACKAGE_PIN T13 [get_ports {PA[3]}]
97
set_property IOSTANDARD LVCMOS33 [get_ports {PA[3]}]
98
 
99
# PA4/FIFOADR0
100
set_property PACKAGE_PIN R11 [get_ports {PA[4]}]
101
set_property IOSTANDARD LVCMOS33 [get_ports {PA[4]}]
102
 
103
# PA5/FIFOADR1
104
set_property PACKAGE_PIN T11 [get_ports {PA[5]}]
105
set_property IOSTANDARD LVCMOS33 [get_ports {PA[5]}]
106
 
107
# PA6/PKTEND
108
set_property PACKAGE_PIN R10 [get_ports {PA[6]}]
109
set_property IOSTANDARD LVCMOS33 [get_ports {PA[6]}]
110
 
111
# PA7/FLAGD/SLCS#
112
set_property PACKAGE_PIN T10 [get_ports {PA[7]}]
113
set_property IOSTANDARD LVCMOS33 [get_ports {PA[7]}]
114
 
115
 
116
# PC0/GPIFADR0
117
set_property PACKAGE_PIN R17 [get_ports {PC[0]}]
118
set_property IOSTANDARD LVCMOS33 [get_ports {PC[0]}]
119
 
120
# PC1/GPIFADR1
121
set_property PACKAGE_PIN R18 [get_ports {PC[1]}]
122
set_property IOSTANDARD LVCMOS33 [get_ports {PC[1]}]
123
 
124
# PC2/GPIFADR2
125
set_property PACKAGE_PIN P18 [get_ports {PC[2]}]
126
set_property IOSTANDARD LVCMOS33 [get_ports {PC[2]}]
127
 
128
# PC3/GPIFADR3
129
set_property PACKAGE_PIN P14 [get_ports {PC[3]}]
130
set_property IOSTANDARD LVCMOS33 [get_ports {PC[3]}]
131
 
132
# PC4/GPIFADR4
133
set_property PACKAGE_PIN K18 [get_ports {FLASH_DO}]
134
set_property IOSTANDARD LVCMOS33 [get_ports {FLASH_DO}]
135
 
136
# PC5/GPIFADR5
137
set_property PACKAGE_PIN L13 [get_ports {FLASH_CS}]
138
set_property IOSTANDARD LVCMOS33 [get_ports {FLASH_CS}]
139
 
140
# PC6/GPIFADR6
141
set_property PACKAGE_PIN E9 [get_ports {FLASH_CLK}]
142
set_property IOSTANDARD LVCMOS33 [get_ports {FLASH_CLK}]
143
 
144
# PC7/GPIFADR7
145
set_property PACKAGE_PIN K17 [get_ports {FLASH_DI}]
146
set_property IOSTANDARD LVCMOS33 [get_ports {FLASH_DI}]
147
 
148
 
149
# PE0/T0OUT
150
set_property PACKAGE_PIN P10 [get_ports {PE[0]}]
151
set_property IOSTANDARD LVCMOS33 [get_ports {PE[0]}]
152
 
153
# PE1/T1OUT
154
set_property PACKAGE_PIN P7 [get_ports {PE[1]}]
155
set_property IOSTANDARD LVCMOS33 [get_ports {PE[1]}]
156
 
157
# PE2/T2OUT
158
set_property PACKAGE_PIN V15 [get_ports {PE[2]}]
159
set_property IOSTANDARD LVCMOS33 [get_ports {PE[2]}]
160
 
161
# PE5/INT6
162
set_property PACKAGE_PIN R16 [get_ports {PE[5]}]
163
set_property IOSTANDARD LVCMOS33 [get_ports {PE[5]}]
164
 
165
# PE6/T2EX
166
set_property PACKAGE_PIN T16 [get_ports {PE[6]}]
167
set_property IOSTANDARD LVCMOS33 [get_ports {PE[6]}]
168
 
169
 
170
# RDY0/SLRD
171
set_property PACKAGE_PIN V16 [get_ports {SLRD}]
172
set_property IOSTANDARD LVCMOS33 [get_ports {SLRD}]
173
 
174
# RDY1/SLWR
175
set_property PACKAGE_PIN U16 [get_ports {SLWR}]
176
set_property IOSTANDARD LVCMOS33 [get_ports {SLWR}]
177
 
178
# RDY2
179
set_property PACKAGE_PIN V17 [get_ports {RDY2}]
180
set_property IOSTANDARD LVCMOS33 [get_ports {RDY2}]
181
 
182
# RDY3
183
set_property PACKAGE_PIN U17 [get_ports {RDY3}]
184
set_property IOSTANDARD LVCMOS33 [get_ports {RDY3}]
185
 
186
# RDY4
187
set_property PACKAGE_PIN U18 [get_ports {RDY4}]
188
set_property IOSTANDARD LVCMOS33 [get_ports {RDY4}]
189
 
190
# RDY5
191
set_property PACKAGE_PIN T18 [get_ports {RDY5}]
192
set_property IOSTANDARD LVCMOS33 [get_ports {RDY5}]
193
 
194
 
195
# CTL0/FLAGA
196
set_property PACKAGE_PIN N16 [get_ports {FLAGA}]
197
set_property IOSTANDARD LVCMOS33 [get_ports {FLAGA}]
198
 
199
# CTL1/FLAGB
200
set_property PACKAGE_PIN N15 [get_ports {FLAGB}]
201
set_property IOSTANDARD LVCMOS33 [get_ports {FLAGB}]
202
 
203
# CTL2/FLAGC
204
set_property PACKAGE_PIN N14 [get_ports {FLAGC}]
205
set_property IOSTANDARD LVCMOS33 [get_ports {FLAGC}]
206
 
207
# CTL3
208
set_property PACKAGE_PIN N17 [get_ports {CTL3}]
209
set_property IOSTANDARD LVCMOS33 [get_ports {CTL3}]
210
 
211
# CTL4
212
set_property PACKAGE_PIN M13 [get_ports {CTL4}]
213
set_property IOSTANDARD LVCMOS33 [get_ports {CTL4}]
214
 
215
 
216
# INT4
217
set_property PACKAGE_PIN D10 [get_ports {INT4}]
218
set_property IOSTANDARD LVCMOS33 [get_ports {INT4}]
219
 
220
# INT5#
221
set_property PACKAGE_PIN U12 [get_ports {INT5_N}]
222
set_property IOSTANDARD LVCMOS33 [get_ports {INT5_N}]
223
 
224
# T0
225
set_property PACKAGE_PIN M17 [get_ports {T0}]
226
set_property IOSTANDARD LVCMOS33 [get_ports {T0}]
227
 
228
 
229
# SCL
230
set_property PACKAGE_PIN B8 [get_ports {SCL}]
231
set_property IOSTANDARD LVCMOS33 [get_ports {SCL}]
232
 
233
# SDA
234
set_property PACKAGE_PIN A10 [get_ports {SDA}]
235
set_property IOSTANDARD LVCMOS33 [get_ports {SDA}]
236
 
237
 
238
# RxD0
239
set_property PACKAGE_PIN A8 [get_ports {RxD0}]
240
set_property IOSTANDARD LVCMOS33 [get_ports {RxD0}]
241
 
242
# TxD0
243
set_property PACKAGE_PIN A9 [get_ports {TxD0}]
244
set_property IOSTANDARD LVCMOS33 [get_ports {TxD0}]
245
 
246
 
247
# external I/O
248
 
249
 
250
# A3 / E22~IO_L22P_T3_16
251
set_property PACKAGE_PIN K16 [get_ports {IO_A[0]}]
252
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[0]}]
253
 
254
# A4 / C22~IO_L20P_T3_16
255
set_property PACKAGE_PIN K15 [get_ports {IO_A[1]}]
256
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[1]}]
257
 
258
# A5 / E21~IO_L23P_T3_16
259
set_property PACKAGE_PIN J15 [get_ports {IO_A[2]}]
260
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[2]}]
261
 
262
# A6 / B21~IO_L21P_T3_DQS_16
263
set_property PACKAGE_PIN H15 [get_ports {IO_A[3]}]
264
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[3]}]
265
 
266
# A7 / D20~IO_L19P_T3_16
267
set_property PACKAGE_PIN J14 [get_ports {IO_A[4]}]
268
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[4]}]
269
 
270
# A8 / B20~IO_L16P_T2_16
271
set_property PACKAGE_PIN H17 [get_ports {IO_A[5]}]
272
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[5]}]
273
 
274
# A9 / C19~IO_L13N_T2_MRCC_16
275
set_property PACKAGE_PIN G17 [get_ports {IO_A[6]}]
276
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[6]}]
277
 
278
# A10 / C18~IO_L13P_T2_MRCC_16
279
set_property PACKAGE_PIN G18 [get_ports {IO_A[7]}]
280
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[7]}]
281
 
282
# A11 / B18~IO_L11N_T1_SRCC_16
283
set_property PACKAGE_PIN F18 [get_ports {IO_A[8]}]
284
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[8]}]
285
 
286
# A12 / B17~IO_L11P_T1_SRCC_16
287
set_property PACKAGE_PIN E18 [get_ports {IO_A[9]}]
288
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[9]}]
289
 
290
# A13 / B16~IO_L7N_T1_16
291
set_property PACKAGE_PIN D18 [get_ports {IO_A[10]}]
292
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[10]}]
293
 
294
# A14 / A16~IO_L9N_T1_DQS_16
295
set_property PACKAGE_PIN G13 [get_ports {IO_A[11]}]
296
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[11]}]
297
 
298
# A18 / A14~IO_L10N_T1_16
299
set_property PACKAGE_PIN F13 [get_ports {IO_A[12]}]
300
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[12]}]
301
 
302
# A19 / D15~IO_L6N_T0_VREF_16
303
set_property PACKAGE_PIN E16 [get_ports {IO_A[13]}]
304
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[13]}]
305
 
306
# A20 / B13~IO_L8N_T1_16
307
set_property PACKAGE_PIN C17 [get_ports {IO_A[14]}]
308
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[14]}]
309
 
310
# A21 / N3~IO_L19N_T3_VREF_35
311
set_property PACKAGE_PIN A18 [get_ports {IO_A[15]}]
312
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[15]}]
313
 
314
# A22 / H4~IO_L12P_T1_MRCC_35
315
set_property PACKAGE_PIN C15 [get_ports {IO_A[16]}]
316
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[16]}]
317
 
318
# A23 / G4~IO_L12N_T1_MRCC_35
319
set_property PACKAGE_PIN B17 [get_ports {IO_A[17]}]
320
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[17]}]
321
 
322
# A24 / E3~IO_L6N_T0_VREF_35
323
set_property PACKAGE_PIN C14 [get_ports {IO_A[18]}]
324
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[18]}]
325
 
326
# A25 / B2~IO_L2N_T0_AD12N_35
327
set_property PACKAGE_PIN D13 [get_ports {IO_A[19]}]
328
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[19]}]
329
 
330
# A26 / D2~IO_L4N_T0_35
331
set_property PACKAGE_PIN A16 [get_ports {IO_A[20]}]
332
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[20]}]
333
 
334
# A27 / G2~IO_L8N_T1_AD14N_35
335
set_property PACKAGE_PIN B14 [get_ports {IO_A[21]}]
336
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[21]}]
337
 
338
# A28 / A1~IO_L1N_T0_AD4N_35
339
set_property PACKAGE_PIN B12 [get_ports {IO_A[22]}]
340
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[22]}]
341
 
342
# A29 / D1~IO_L3N_T0_DQS_AD5N_35
343
set_property PACKAGE_PIN A14 [get_ports {IO_A[23]}]
344
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[23]}]
345
 
346
# A30 / G1~IO_L5P_T0_AD13P_35
347
set_property PACKAGE_PIN B11 [get_ports {IO_A[24]}]
348
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[24]}]
349
 
350
 
351
# B3 / D22~IO_L22N_T3_16
352
set_property PACKAGE_PIN J18 [get_ports {IO_B[0]}]
353
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[0]}]
354
 
355
# B4 / B22~IO_L20N_T3_16
356
set_property PACKAGE_PIN J17 [get_ports {IO_B[1]}]
357
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[1]}]
358
 
359
# B5 / D21~IO_L23N_T3_16
360
set_property PACKAGE_PIN K13 [get_ports {IO_B[2]}]
361
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[2]}]
362
 
363
# B6 / A21~IO_L21N_T3_DQS_16
364
set_property PACKAGE_PIN J13 [get_ports {IO_B[3]}]
365
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[3]}]
366
 
367
# B7 / C20~IO_L19N_T3_VREF_16
368
set_property PACKAGE_PIN H14 [get_ports {IO_B[4]}]
369
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[4]}]
370
 
371
# B8 / A20~IO_L16N_T2_16
372
set_property PACKAGE_PIN G14 [get_ports {IO_B[5]}]
373
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[5]}]
374
 
375
# B9 / A19~IO_L17N_T2_16
376
set_property PACKAGE_PIN G16 [get_ports {IO_B[6]}]
377
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[6]}]
378
 
379
# B10 / A18~IO_L17P_T2_16
380
set_property PACKAGE_PIN H16 [get_ports {IO_B[7]}]
381
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[7]}]
382
 
383
# B11 / D17~IO_L12P_T1_MRCC_16
384
set_property PACKAGE_PIN F16 [get_ports {IO_B[8]}]
385
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[8]}]
386
 
387
# B12 / C17~IO_L12N_T1_MRCC_16
388
set_property PACKAGE_PIN F15 [get_ports {IO_B[9]}]
389
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[9]}]
390
 
391
# B13 / B15~IO_L7P_T1_16
392
set_property PACKAGE_PIN E17 [get_ports {IO_B[10]}]
393
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[10]}]
394
 
395
# B14 / A15~IO_L9P_T1_DQS_16
396
set_property PACKAGE_PIN D17 [get_ports {IO_B[11]}]
397
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[11]}]
398
 
399
# B18 / A13~IO_L10P_T1_16
400
set_property PACKAGE_PIN F14 [get_ports {IO_B[12]}]
401
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[12]}]
402
 
403
# B19 / D14~IO_L6P_T0_16
404
set_property PACKAGE_PIN E15 [get_ports {IO_B[13]}]
405
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[13]}]
406
 
407
# B20 / C13~IO_L8P_T1_16
408
set_property PACKAGE_PIN C16 [get_ports {IO_B[14]}]
409
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[14]}]
410
 
411
# B21 / H3~IO_L11P_T1_SRCC_35
412
set_property PACKAGE_PIN B18 [get_ports {IO_B[15]}]
413
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[15]}]
414
 
415
# B22 / G3~IO_L11N_T1_SRCC_35
416
set_property PACKAGE_PIN D15 [get_ports {IO_B[16]}]
417
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[16]}]
418
 
419
# B23 / F4~IO_0_35
420
set_property PACKAGE_PIN B16 [get_ports {IO_B[17]}]
421
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[17]}]
422
 
423
# B24 / F3~IO_L6P_T0_35
424
set_property PACKAGE_PIN D14 [get_ports {IO_B[18]}]
425
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[18]}]
426
 
427
# B25 / C2~IO_L2P_T0_AD12P_35
428
set_property PACKAGE_PIN D12 [get_ports {IO_B[19]}]
429
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[19]}]
430
 
431
# B26 / E2~IO_L4P_T0_35
432
set_property PACKAGE_PIN A15 [get_ports {IO_B[20]}]
433
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[20]}]
434
 
435
# B27 / H2~IO_L8P_T1_AD14P_35
436
set_property PACKAGE_PIN B13 [get_ports {IO_B[21]}]
437
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[21]}]
438
 
439
# B28 / B1~IO_L1P_T0_AD4P_35
440
set_property PACKAGE_PIN C12 [get_ports {IO_B[22]}]
441
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[22]}]
442
 
443
# B29 / E1~IO_L3P_T0_DQS_AD5P_35
444
set_property PACKAGE_PIN A13 [get_ports {IO_B[23]}]
445
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[23]}]
446
 
447
# B30 / F1~IO_L5N_T0_AD13N_35
448
set_property PACKAGE_PIN A11 [get_ports {IO_B[24]}]
449
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[24]}]
450
 
451
 
452
# C3 / AB17~IO_L2N_T0_13
453
set_property PACKAGE_PIN U9 [get_ports {IO_C[0]}]
454
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[0]}]
455
 
456
# C4 / Y16~IO_L1P_T0_13
457
set_property PACKAGE_PIN U8 [get_ports {IO_C[1]}]
458
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[1]}]
459
 
460
# C5 / AA15~IO_L4P_T0_13
461
set_property PACKAGE_PIN U7 [get_ports {IO_C[2]}]
462
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[2]}]
463
 
464
# C6 / Y13~IO_L5P_T0_13
465
set_property PACKAGE_PIN U6 [get_ports {IO_C[3]}]
466
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[3]}]
467
 
468
# C7 / W14~IO_L6P_T0_13
469
set_property PACKAGE_PIN T8 [get_ports {IO_C[4]}]
470
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[4]}]
471
 
472
# C8 / AA13~IO_L3P_T0_DQS_13
473
set_property PACKAGE_PIN R8 [get_ports {IO_C[5]}]
474
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[5]}]
475
 
476
# C9 / AB12~IO_L7N_T1_13
477
set_property PACKAGE_PIN R7 [get_ports {IO_C[6]}]
478
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[6]}]
479
 
480
# C10 / W12~IO_L12N_T1_MRCC_13
481
set_property PACKAGE_PIN T6 [get_ports {IO_C[7]}]
482
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[7]}]
483
 
484
# C11 / AA11~IO_L9N_T1_DQS_13
485
set_property PACKAGE_PIN R6 [get_ports {IO_C[8]}]
486
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[8]}]
487
 
488
# C12 / AA9~IO_L8P_T1_13
489
set_property PACKAGE_PIN R5 [get_ports {IO_C[9]}]
490
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[9]}]
491
 
492
# C13 / W9~IO_L24P_T3_34
493
set_property PACKAGE_PIN V2 [get_ports {IO_C[10]}]
494
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[10]}]
495
 
496
# C14 / AA8~IO_L22P_T3_34
497
set_property PACKAGE_PIN U2 [get_ports {IO_C[11]}]
498
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[11]}]
499
 
500
# C15 / V7~IO_L19P_T3_34
501
set_property PACKAGE_PIN K6 [get_ports {IO_C[12]}]
502
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[12]}]
503
 
504
# C19 / AB6~IO_L20N_T3_34
505
set_property PACKAGE_PIN N6 [get_ports {IO_C[13]}]
506
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[13]}]
507
 
508
# C20 / AA5~IO_L10P_T1_34
509
set_property PACKAGE_PIN M6 [get_ports {IO_C[14]}]
510
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[14]}]
511
 
512
# C21 / Y4~IO_L11P_T1_SRCC_34
513
set_property PACKAGE_PIN L6 [get_ports {IO_C[15]}]
514
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[15]}]
515
 
516
# C22 / V4~IO_L12P_T1_MRCC_34
517
set_property PACKAGE_PIN L5 [get_ports {IO_C[16]}]
518
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[16]}]
519
 
520
# C23 / Y3~IO_L9P_T1_DQS_34
521
set_property PACKAGE_PIN N4 [get_ports {IO_C[17]}]
522
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[17]}]
523
 
524
# C24 / U3~IO_L6P_T0_34
525
set_property PACKAGE_PIN M4 [get_ports {IO_C[18]}]
526
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[18]}]
527
 
528
# C25 / AB3~IO_L8P_T1_34
529
set_property PACKAGE_PIN M3 [get_ports {IO_C[19]}]
530
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[19]}]
531
 
532
# C26 / W2~IO_L4P_T0_34
533
set_property PACKAGE_PIN M2 [get_ports {IO_C[20]}]
534
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[20]}]
535
 
536
# C27 / U2~IO_L2P_T0_34
537
set_property PACKAGE_PIN K5 [get_ports {IO_C[21]}]
538
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[21]}]
539
 
540
# C28 / AA1~IO_L7P_T1_34
541
set_property PACKAGE_PIN L4 [get_ports {IO_C[22]}]
542
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[22]}]
543
 
544
# C29 / W1~IO_L5P_T0_34
545
set_property PACKAGE_PIN L3 [get_ports {IO_C[23]}]
546
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[23]}]
547
 
548
# C30 / T1~IO_L1P_T0_34
549
set_property PACKAGE_PIN K3 [get_ports {IO_C[24]}]
550
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[24]}]
551
 
552
 
553
# D3 / AB16~IO_L2P_T0_13
554
set_property PACKAGE_PIN V9 [get_ports {IO_D[0]}]
555
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[0]}]
556
 
557
# D4 / AA16~IO_L1N_T0_13
558
set_property PACKAGE_PIN V7 [get_ports {IO_D[1]}]
559
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[1]}]
560
 
561
# D5 / AB15~IO_L4N_T0_13
562
set_property PACKAGE_PIN V6 [get_ports {IO_D[2]}]
563
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[2]}]
564
 
565
# D6 / AA14~IO_L5N_T0_13
566
set_property PACKAGE_PIN V5 [get_ports {IO_D[3]}]
567
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[3]}]
568
 
569
# D7 / Y14~IO_L6N_T0_VREF_13
570
set_property PACKAGE_PIN V4 [get_ports {IO_D[4]}]
571
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[4]}]
572
 
573
# D8 / AB13~IO_L3N_T0_DQS_13
574
set_property PACKAGE_PIN T5 [get_ports {IO_D[5]}]
575
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[5]}]
576
 
577
# D9 / AB11~IO_L7P_T1_13
578
set_property PACKAGE_PIN T4 [get_ports {IO_D[6]}]
579
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[6]}]
580
 
581
# D10 / W11~IO_L12P_T1_MRCC_13
582
set_property PACKAGE_PIN U4 [get_ports {IO_D[7]}]
583
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[7]}]
584
 
585
# D11 / AA10~IO_L9P_T1_DQS_13
586
set_property PACKAGE_PIN U3 [get_ports {IO_D[8]}]
587
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[8]}]
588
 
589
# D12 / AB10~IO_L8N_T1_13
590
set_property PACKAGE_PIN V1 [get_ports {IO_D[9]}]
591
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[9]}]
592
 
593
# D13 / Y9~IO_L24N_T3_34
594
set_property PACKAGE_PIN U1 [get_ports {IO_D[10]}]
595
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[10]}]
596
 
597
# D14 / AB8~IO_L22N_T3_34
598
set_property PACKAGE_PIN T3 [get_ports {IO_D[11]}]
599
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[11]}]
600
 
601
# D15 / W7~IO_L19N_T3_VREF_34
602
set_property PACKAGE_PIN R3 [get_ports {IO_D[12]}]
603
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[12]}]
604
 
605
# D19 / AB7~IO_L20P_T3_34
606
set_property PACKAGE_PIN P5 [get_ports {IO_D[13]}]
607
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[13]}]
608
 
609
# D20 / AB5~IO_L10N_T1_34
610
set_property PACKAGE_PIN N5 [get_ports {IO_D[14]}]
611
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[14]}]
612
 
613
# D21 / AA4~IO_L11N_T1_SRCC_34
614
set_property PACKAGE_PIN P4 [get_ports {IO_D[15]}]
615
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[15]}]
616
 
617
# D22 / W4~IO_L12N_T1_MRCC_34
618
set_property PACKAGE_PIN P3 [get_ports {IO_D[16]}]
619
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[16]}]
620
 
621
# D23 / AA3~IO_L9N_T1_DQS_34
622
set_property PACKAGE_PIN T1 [get_ports {IO_D[17]}]
623
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[17]}]
624
 
625
# D24 / V3~IO_L6N_T0_VREF_34
626
set_property PACKAGE_PIN R1 [get_ports {IO_D[18]}]
627
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[18]}]
628
 
629
# D25 / AB2~IO_L8N_T1_34
630
set_property PACKAGE_PIN R2 [get_ports {IO_D[19]}]
631
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[19]}]
632
 
633
# D26 / Y2~IO_L4N_T0_34
634
set_property PACKAGE_PIN P2 [get_ports {IO_D[20]}]
635
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[20]}]
636
 
637
# D27 / V2~IO_L2N_T0_34
638
set_property PACKAGE_PIN N2 [get_ports {IO_D[21]}]
639
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[21]}]
640
 
641
# D28 / AB1~IO_L7N_T1_34
642
set_property PACKAGE_PIN N1 [get_ports {IO_D[22]}]
643
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[22]}]
644
 
645
# D29 / Y1~IO_L5N_T0_34
646
set_property PACKAGE_PIN M1 [get_ports {IO_D[23]}]
647
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[23]}]
648
 
649
# D30 / U1~IO_L1N_T0_34
650
set_property PACKAGE_PIN L1 [get_ports {IO_D[24]}]
651
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[24]}]

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.