OpenCores
URL https://opencores.org/ocsvn/usb_fpga_2_14/usb_fpga_2_14/trunk

Subversion Repositories usb_fpga_2_14

[/] [usb_fpga_2_14/] [trunk/] [constraints/] [usb-fpga-1.15.xdc] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 ZTEX
# !!! Constraint files are application specific !!!
2
# !!!          This is a template only          !!!
3
 
4
# on-board signals
5
 
6
# CLKOUT/FXCLK
7
create_clock -name fxclk_in -period 20.833 [get_ports fxclk_in]
8
set_property PACKAGE_PIN L22 [get_ports fxclk_in]
9
set_property IOSTANDARD LVCMOS33 [get_ports fxclk_in]
10
 
11
# IFCLK
12
create_clock -name ifclk_in -period 20.833 [get_ports ifclk_in]
13
set_property PACKAGE_PIN K20 [get_ports ifclk_in]
14
set_property IOSTANDARD LVCMOS33 [get_ports ifclk_in]
15
 
16
 
17
set_property PACKAGE_PIN U15 [get_ports {PA[2]}]                ;# PA2/SLOE
18
set_property IOSTANDARD LVCMOS33 [get_ports {PA[2]}]
19
 
20
set_property PACKAGE_PIN W17 [get_ports {PA[4]}]                ;# PA4/FIFOADR0
21
set_property IOSTANDARD LVCMOS33 [get_ports {PA[4]}]
22
 
23
set_property PACKAGE_PIN Y18 [get_ports {PA[5]}]                ;# PA5/FIFOADR1
24
set_property IOSTANDARD LVCMOS33 [get_ports {PA[5]}]
25
 
26
set_property PACKAGE_PIN AB5 [get_ports {PA[6]}]                ;# PA6/PKTEND
27
set_property IOSTANDARD LVCMOS33 [get_ports {PA[6]}]
28
 
29
set_property PACKAGE_PIN AB17 [get_ports {PA[7]}]               ;# PA7/FLAGD/SLCS#
30
set_property IOSTANDARD LVCMOS33 [get_ports {PA[7]}]
31
 
32
 
33
set_property PACKAGE_PIN Y17 [get_ports {PB[0]}]                ;# PB0/FD0
34
set_property IOSTANDARD LVCMOS33 [get_ports {PB[0]}]
35
 
36
set_property PACKAGE_PIN V13 [get_ports {PB[1]}]                ;# PB1/FD1
37
set_property IOSTANDARD LVCMOS33 [get_ports {PB[1]}]
38
 
39
set_property PACKAGE_PIN W13 [get_ports {PB[2]}]                ;# PB2/FD2
40
set_property IOSTANDARD LVCMOS33 [get_ports {PB[2]}]
41
 
42
set_property PACKAGE_PIN AA8 [get_ports {PB[3]}]                ;# PB3/FD3
43
set_property IOSTANDARD LVCMOS33 [get_ports {PB[3]}]
44
 
45
set_property PACKAGE_PIN AB8 [get_ports {PB[4]}]                ;# PB4/FD4
46
set_property IOSTANDARD LVCMOS33 [get_ports {PB[4]}]
47
 
48
set_property PACKAGE_PIN W6 [get_ports {PB[5]}]                 ;# PB5/FD5
49
set_property IOSTANDARD LVCMOS33 [get_ports {PB[5]}]
50
 
51
set_property PACKAGE_PIN Y6 [get_ports {PB[6]}]                 ;# PB6/FD6
52
set_property IOSTANDARD LVCMOS33 [get_ports {PB[6]}]
53
 
54
set_property PACKAGE_PIN Y9 [get_ports {PB[7]}]                 ;# PB7/FD7
55
set_property IOSTANDARD LVCMOS33 [get_ports {PB[7]}]
56
 
57
 
58
set_property PACKAGE_PIN G20 [get_ports {PC[0]}]                ;# PC0/GPIFADR0
59
set_property IOSTANDARD LVCMOS33 [get_ports {PC[0]}]
60
 
61
set_property PACKAGE_PIN T20 [get_ports {PC[1]}]                ;# PC1/GPIFADR1
62
set_property IOSTANDARD LVCMOS33 [get_ports {PC[1]}]
63
 
64
set_property PACKAGE_PIN Y5 [get_ports {PC[2]}]                 ;# PC2/GPIFADR2
65
set_property IOSTANDARD LVCMOS33 [get_ports {PC[2]}]
66
 
67
set_property PACKAGE_PIN AB9 [get_ports {PC[3]}]                ;# PC3/GPIFADR3
68
set_property IOSTANDARD LVCMOS33 [get_ports {PC[3]}]
69
 
70
set_property PACKAGE_PIN G19 [get_ports {PC[4]}]                ;# PC4/GPIFADR4
71
set_property IOSTANDARD LVCMOS33 [get_ports {PC[4]}]
72
 
73
set_property PACKAGE_PIN H20 [get_ports {PC[5]}]                ;# PC5/GPIFADR5
74
set_property IOSTANDARD LVCMOS33 [get_ports {PC[5]}]
75
 
76
set_property PACKAGE_PIN H19 [get_ports {PC[6]}]                ;# PC6/GPIFADR6
77
set_property IOSTANDARD LVCMOS33 [get_ports {PC[6]}]
78
 
79
set_property PACKAGE_PIN H18 [get_ports {PC[7]}]                ;# PC7/GPIFADR7
80
set_property IOSTANDARD LVCMOS33 [get_ports {PC[7]}]
81
 
82
 
83
set_property PACKAGE_PIN V21 [get_ports {PD[0]}]                ;# PD0/FD8
84
set_property IOSTANDARD LVCMOS33 [get_ports {PD[0]}]
85
 
86
set_property PACKAGE_PIN V22 [get_ports {PD[1]}]                ;# PD1/FD9
87
set_property IOSTANDARD LVCMOS33 [get_ports {PD[1]}]
88
 
89
set_property PACKAGE_PIN U20 [get_ports {PD[2]}]                ;# PD2/FD10
90
set_property IOSTANDARD LVCMOS33 [get_ports {PD[2]}]
91
 
92
set_property PACKAGE_PIN U22 [get_ports {PD[3]}]                ;# PD3/FD11
93
set_property IOSTANDARD LVCMOS33 [get_ports {PD[3]}]
94
 
95
set_property PACKAGE_PIN R20 [get_ports {PD[4]}]                ;# PD4/FD12
96
set_property IOSTANDARD LVCMOS33 [get_ports {PD[4]}]
97
 
98
set_property PACKAGE_PIN R22 [get_ports {PD[5]}]                ;# PD5/FD13
99
set_property IOSTANDARD LVCMOS33 [get_ports {PD[5]}]
100
 
101
set_property PACKAGE_PIN P18 [get_ports {PD[6]}]                ;# PD6/FD14
102
set_property IOSTANDARD LVCMOS33 [get_ports {PD[6]}]
103
 
104
set_property PACKAGE_PIN P19 [get_ports {PD[7]}]                ;# PD7/FD15
105
set_property IOSTANDARD LVCMOS33 [get_ports {PD[7]}]
106
 
107
 
108
set_property PACKAGE_PIN B22 [get_ports {TxD1}]                 ;# TxD1
109
set_property IOSTANDARD LVCMOS33 [get_ports {TxD1}]
110
 
111
set_property PACKAGE_PIN A21 [get_ports {RxD1}]                 ;# RxD1
112
set_property IOSTANDARD LVCMOS33 [get_ports {RxD1}]
113
 
114
 
115
set_property PACKAGE_PIN N22 [get_ports {SLRD}]                 ;# RDY0/SLRD
116
set_property IOSTANDARD LVCMOS33 [get_ports {SLRD}]
117
 
118
set_property PACKAGE_PIN M22 [get_ports {SLWR}]                 ;# RDY1/SLWR
119
set_property IOSTANDARD LVCMOS33 [get_ports {SLWR}]
120
 
121
set_property PACKAGE_PIN M21 [get_ports {RDY2}]                 ;# RDY2
122
set_property IOSTANDARD LVCMOS33 [get_ports {RDY2}]
123
 
124
set_property PACKAGE_PIN K21 [get_ports {RDY3}]                 ;# RDY3
125
set_property IOSTANDARD LVCMOS33 [get_ports {RDY3}]
126
 
127
set_property PACKAGE_PIN K22 [get_ports {RDY4}]                 ;# RDY4
128
set_property IOSTANDARD LVCMOS33 [get_ports {RDY4}]
129
 
130
set_property PACKAGE_PIN J21 [get_ports {RDY5}]                 ;# RDY5
131
set_property IOSTANDARD LVCMOS33 [get_ports {RDY5}]
132
 
133
 
134
set_property PACKAGE_PIN F20 [get_ports {FLAGA}]                ;# CTL0/FLAGA
135
set_property IOSTANDARD LVCMOS33 [get_ports {FLAGA}]
136
 
137
set_property PACKAGE_PIN F19 [get_ports {FLAGB}]                ;# CTL1/FLAGB
138
set_property IOSTANDARD LVCMOS33 [get_ports {FLAGB}]
139
 
140
set_property PACKAGE_PIN F18 [get_ports {FLAGC}]                ;# CTL2/FLAGC
141
set_property IOSTANDARD LVCMOS33 [get_ports {FLAGC}]
142
 
143
set_property PACKAGE_PIN D19 [get_ports {CTL3}]                 ;# CTL3
144
set_property IOSTANDARD LVCMOS33 [get_ports {CTL3}]
145
 
146
set_property PACKAGE_PIN E20 [get_ports {CTL4}]                 ;# CTL4
147
set_property IOSTANDARD LVCMOS33 [get_ports {CTL4}]
148
 
149
set_property PACKAGE_PIN N20 [get_ports {CTL5}]                 ;# CTL5
150
set_property IOSTANDARD LVCMOS33 [get_ports {CTL5}]
151
 
152
 
153
set_property PACKAGE_PIN M20 [get_ports {MM_A[0]}]              ;# A0
154
set_property IOSTANDARD LVCMOS33 [get_ports {MM_A[0]}]
155
 
156
set_property PACKAGE_PIN M19 [get_ports {MM_A[1]}]              ;# A1
157
set_property IOSTANDARD LVCMOS33 [get_ports {MM_A[1]}]
158
 
159
set_property PACKAGE_PIN M18 [get_ports {MM_A[2]}]              ;# A2
160
set_property IOSTANDARD LVCMOS33 [get_ports {MM_A[2]}]
161
 
162
set_property PACKAGE_PIN N19 [get_ports {MM_A[3]}]              ;# A3
163
set_property IOSTANDARD LVCMOS33 [get_ports {MM_A[3]}]
164
 
165
set_property PACKAGE_PIN T19 [get_ports {MM_A[4]}]              ;# A4
166
set_property IOSTANDARD LVCMOS33 [get_ports {MM_A[4]}]
167
 
168
set_property PACKAGE_PIN T21 [get_ports {MM_A[5]}]              ;# A5
169
set_property IOSTANDARD LVCMOS33 [get_ports {MM_A[5]}]
170
 
171
set_property PACKAGE_PIN T22 [get_ports {MM_A[6]}]              ;# A6
172
set_property IOSTANDARD LVCMOS33 [get_ports {MM_A[6]}]
173
 
174
set_property PACKAGE_PIN R19 [get_ports {MM_A[7]}]              ;# A7
175
set_property IOSTANDARD LVCMOS33 [get_ports {MM_A[7]}]
176
 
177
set_property PACKAGE_PIN P20 [get_ports {MM_A[8]}]              ;# A8
178
set_property IOSTANDARD LVCMOS33 [get_ports {MM_A[8]}]
179
 
180
set_property PACKAGE_PIN P21 [get_ports {MM_A[9]}]              ;# A9
181
set_property IOSTANDARD LVCMOS33 [get_ports {MM_A[9]}]
182
 
183
set_property PACKAGE_PIN P22 [get_ports {MM_A[10]}]             ;# A10
184
set_property IOSTANDARD LVCMOS33 [get_ports {MM_A[10]}]
185
 
186
set_property PACKAGE_PIN J22 [get_ports {MM_A[11]}]             ;# A11
187
set_property IOSTANDARD LVCMOS33 [get_ports {MM_A[11]}]
188
 
189
set_property PACKAGE_PIN H21 [get_ports {MM_A[12]}]             ;# A12
190
set_property IOSTANDARD LVCMOS33 [get_ports {MM_A[12]}]
191
 
192
set_property PACKAGE_PIN H22 [get_ports {MM_A[13]}]             ;# A13
193
set_property IOSTANDARD LVCMOS33 [get_ports {MM_A[13]}]
194
 
195
set_property PACKAGE_PIN G22 [get_ports {MM_A[14]}]             ;# A14
196
set_property IOSTANDARD LVCMOS33 [get_ports {MM_A[14]}]
197
 
198
set_property PACKAGE_PIN F21 [get_ports {MM_A[15]}]             ;# A15
199
set_property IOSTANDARD LVCMOS33 [get_ports {MM_A[15]}]
200
 
201
 
202
set_property PACKAGE_PIN D20 [get_ports {MM_D[0]}]              ;# D0
203
set_property IOSTANDARD LVCMOS33 [get_ports {MM_D[0]}]
204
 
205
set_property PACKAGE_PIN C20 [get_ports {MM_D[1]}]              ;# D1
206
set_property IOSTANDARD LVCMOS33 [get_ports {MM_D[1]}]
207
 
208
set_property PACKAGE_PIN C19 [get_ports {MM_D[2]}]              ;# D2
209
set_property IOSTANDARD LVCMOS33 [get_ports {MM_D[2]}]
210
 
211
set_property PACKAGE_PIN B21 [get_ports {MM_D[3]}]              ;# D3
212
set_property IOSTANDARD LVCMOS33 [get_ports {MM_D[3]}]
213
 
214
set_property PACKAGE_PIN B20 [get_ports {MM_D[4]}]              ;# D4
215
set_property IOSTANDARD LVCMOS33 [get_ports {MM_D[4]}]
216
 
217
set_property PACKAGE_PIN J19 [get_ports {MM_D[5]}]              ;# D5
218
set_property IOSTANDARD LVCMOS33 [get_ports {MM_D[5]}]
219
 
220
set_property PACKAGE_PIN K19 [get_ports {MM_D[6]}]              ;# D6
221
set_property IOSTANDARD LVCMOS33 [get_ports {MM_D[6]}]
222
 
223
set_property PACKAGE_PIN L19 [get_ports {MM_D[7]}]              ;# D7
224
set_property IOSTANDARD LVCMOS33 [get_ports {MM_D[7]}]
225
 
226
 
227
set_property PACKAGE_PIN C22 [get_ports {MM_WR_N}]              ;# WR_N
228
set_property IOSTANDARD LVCMOS33 [get_ports {MM_WR_N}]
229
 
230
set_property PACKAGE_PIN D21 [get_ports {MM_RD_N}]              ;# RD_N
231
set_property IOSTANDARD LVCMOS33 [get_ports {MM_RD_N}]
232
 
233
set_property PACKAGE_PIN D22 [get_ports {MM_PSEN_N}]            ;# PSEN_N
234
set_property IOSTANDARD LVCMOS33 [get_ports {MM_PSEN_N}]
235
 
236
 
237
set_property PACKAGE_PIN T18 [get_ports {SD_DAT1}]              ;# SD_DAT1
238
set_property IOSTANDARD LVCMOS33 [get_ports {SD_DAT1}]
239
 
240
set_property PACKAGE_PIN R17 [get_ports {SD_DAT2}]              ;# SD_DAT2
241
set_property IOSTANDARD LVCMOS33 [get_ports {SD_DAT2}]
242
 
243
 
244
set_property PACKAGE_PIN F22 [get_ports {SCL}]                  ;# SCL
245
set_property IOSTANDARD LVCMOS33 [get_ports {SCL}]
246
 
247
set_property PACKAGE_PIN E22 [get_ports {SDA}]                  ;# SDA
248
set_property IOSTANDARD LVCMOS33 [get_ports {SDA}]
249
 
250
 
251
set_property PACKAGE_PIN C18 [get_ports {INT4}]                 ;# INT4
252
set_property IOSTANDARD LVCMOS33 [get_ports {INT4}]
253
 
254
set_property PACKAGE_PIN V17 [get_ports {INT5_N}]               ;# INT5#
255
set_property IOSTANDARD LVCMOS33 [get_ports {INT5_N}]
256
 
257
 
258
 
259
# external I/O
260
 
261
set_property PACKAGE_PIN A20 [get_ports {IO_A[0]}]              ;# A9 / A20~IO_L16N_1
262
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[0]}]
263
 
264
set_property PACKAGE_PIN A18 [get_ports {IO_A[1]}]              ;# A12 / A18~IO_L66N_SCP0_0
265
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[1]}]
266
 
267
set_property PACKAGE_PIN D17 [get_ports {IO_A[2]}]              ;# A13 / D17~IO_L65P_SCP3_0
268
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[2]}]
269
 
270
set_property PACKAGE_PIN A17 [get_ports {IO_A[3]}]              ;# A14 / A17~IO_L64N_SCP4_0
271
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[3]}]
272
 
273
set_property PACKAGE_PIN C14 [get_ports {IO_A[4]}]              ;# A15 / C14~IO_L46N_0
274
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[4]}]
275
 
276
set_property PACKAGE_PIN A11 [get_ports {IO_A[5]}]              ;# A17 / A11~IO_L35N_GCLK16_0
277
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[5]}]
278
 
279
set_property PACKAGE_PIN C13 [get_ports {IO_A[6]}]              ;# A18 / C13~IO_L48P_0
280
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[6]}]
281
 
282
set_property PACKAGE_PIN C12 [get_ports {IO_A[7]}]              ;# A19 / C12~IO_L37N_GCLK12_0
283
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[7]}]
284
 
285
set_property PACKAGE_PIN C15 [get_ports {IO_A[8]}]              ;# A20 / C15~IO_L62P_0
286
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[8]}]
287
 
288
set_property PACKAGE_PIN C10 [get_ports {IO_A[9]}]              ;# A24 / C10~IO_L33N_0
289
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[9]}]
290
 
291
set_property PACKAGE_PIN D8 [get_ports {IO_A[10]}]              ;# A25 / D8~IO_L32N_0
292
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[10]}]
293
 
294
set_property PACKAGE_PIN A8 [get_ports {IO_A[11]}]              ;# A26 / A8~IO_L6N_0
295
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[11]}]
296
 
297
set_property PACKAGE_PIN C8 [get_ports {IO_A[12]}]              ;# A27 / C8~IO_L7N_0
298
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[12]}]
299
 
300
set_property PACKAGE_PIN C6 [get_ports {IO_A[13]}]              ;# A28 / C6~IO_L3N_0
301
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[13]}]
302
 
303
set_property PACKAGE_PIN A5 [get_ports {IO_A[14]}]              ;# A29 / A5~IO_L2N_0
304
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[14]}]
305
 
306
set_property PACKAGE_PIN B3 [get_ports {IO_A[15]}]              ;# A30 / B3~IO_L1P_HSWAPEN_0
307
set_property IOSTANDARD LVCMOS33 [get_ports {IO_A[15]}]
308
 
309
 
310
set_property PACKAGE_PIN A19 [get_ports {IO_B[0]}]              ;# B9 / A19~IO_L16P_1
311
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[0]}]
312
 
313
set_property PACKAGE_PIN B18 [get_ports {IO_B[1]}]              ;# B12 / B18~IO_L66P_SCP1_0
314
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[1]}]
315
 
316
set_property PACKAGE_PIN C17 [get_ports {IO_B[2]}]              ;# B14 / C17~IO_L64P_SCP5_0
317
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[2]}]
318
 
319
set_property PACKAGE_PIN D15 [get_ports {IO_B[3]}]              ;# B15 / D15~IO_L46P_0
320
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[3]}]
321
 
322
set_property PACKAGE_PIN C11 [get_ports {IO_B[4]}]              ;# B17 / C11~IO_L35P_GCLK17_0
323
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[4]}]
324
 
325
set_property PACKAGE_PIN A13 [get_ports {IO_B[5]}]              ;# B18 / A13~IO_L48N_0
326
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[5]}]
327
 
328
set_property PACKAGE_PIN D11 [get_ports {IO_B[6]}]              ;# B19 / D11~IO_L37P_GCLK13_0
329
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[6]}]
330
 
331
set_property PACKAGE_PIN F10 [get_ports {IO_B[7]}]              ;# B20 / F10~IO_L38P_0
332
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[7]}]
333
 
334
set_property PACKAGE_PIN D10 [get_ports {IO_B[8]}]              ;# B24 / D10~IO_L33P_0
335
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[8]}]
336
 
337
set_property PACKAGE_PIN D9 [get_ports {IO_B[9]}]               ;# B25 / D9~IO_L32P_0
338
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[9]}]
339
 
340
set_property PACKAGE_PIN B8 [get_ports {IO_B[10]}]              ;# B26 / B8~IO_L6P_0
341
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[10]}]
342
 
343
set_property PACKAGE_PIN D7 [get_ports {IO_B[11]}]              ;# B27 / D7~IO_L7P_0
344
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[11]}]
345
 
346
set_property PACKAGE_PIN D6 [get_ports {IO_B[12]}]              ;# B28 / D6~IO_L3P_0
347
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[12]}]
348
 
349
set_property PACKAGE_PIN C5 [get_ports {IO_B[13]}]              ;# B29 / C5~IO_L2P_0
350
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[13]}]
351
 
352
set_property PACKAGE_PIN A6 [get_ports {IO_B[14]}]              ;# B30 / A6~IO_L4N_0
353
set_property IOSTANDARD LVCMOS33 [get_ports {IO_B[14]}]
354
 
355
 
356
set_property PACKAGE_PIN L20 [get_ports {IO_C[0]}]              ;# C3 / L20~IO_L43P_GCLK5_M1DQ4_1
357
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[0]}]
358
 
359
set_property PACKAGE_PIN Y11 [get_ports {IO_C[1]}]              ;# C20 / Y11~IO_L31P_GCLK31_D14_2
360
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[1]}]
361
 
362
set_property PACKAGE_PIN AA12 [get_ports {IO_C[2]}]             ;# C21 / AA12~IO_L30P_GCLK1_D13_2
363
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[2]}]
364
 
365
set_property PACKAGE_PIN Y10 [get_ports {IO_C[3]}]              ;# C22 / Y10~IO_L29N_GCLK2_2
366
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[3]}]
367
 
368
set_property PACKAGE_PIN AB10 [get_ports {IO_C[4]}]             ;# C23 / AB10~IO_L32N_GCLK28_2
369
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[4]}]
370
 
371
set_property PACKAGE_PIN Y13 [get_ports {IO_C[5]}]              ;# C24 / Y13~IO_L41P_2
372
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[5]}]
373
 
374
set_property PACKAGE_PIN W9 [get_ports {IO_C[6]}]               ;# C25 / W9~IO_L47P_2
375
set_property IOSTANDARD LVCMOS33 [get_ports {IO_C[6]}]
376
 
377
 
378
set_property PACKAGE_PIN V20 [get_ports {IO_D[0]}]              ;# D8 / V20~IO_L71N_1
379
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[0]}]
380
 
381
set_property PACKAGE_PIN Y22 [get_ports {IO_D[1]}]              ;# D9 / Y22~IO_L59N_1
382
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[1]}]
383
 
384
set_property PACKAGE_PIN AA22 [get_ports {IO_D[2]}]             ;# D10 / AA22~IO_L63N_1
385
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[2]}]
386
 
387
set_property PACKAGE_PIN Y21 [get_ports {IO_D[3]}]              ;# D11 / Y21~IO_L59P_1
388
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[3]}]
389
 
390
set_property PACKAGE_PIN W20 [get_ports {IO_D[4]}]              ;# D12 / W20~IO_L53P_1
391
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[4]}]
392
 
393
set_property PACKAGE_PIN AA20 [get_ports {IO_D[5]}]             ;# D13 / AA20~IO_L61P_1
394
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[5]}]
395
 
396
set_property PACKAGE_PIN V19 [get_ports {IO_D[6]}]              ;# D14 / V19~IO_L71P_1
397
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[6]}]
398
 
399
set_property PACKAGE_PIN Y19 [get_ports {IO_D[7]}]              ;# D15 / Y19~IO_L67P_1
400
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[7]}]
401
 
402
set_property PACKAGE_PIN V18 [get_ports {IO_D[8]}]              ;# D16 / V18~IO_L73N_1
403
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[8]}]
404
 
405
set_property PACKAGE_PIN Y15 [get_ports {IO_D[9]}]              ;# D17 / Y15~IO_L5P_2
406
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[9]}]
407
 
408
set_property PACKAGE_PIN V15 [get_ports {IO_D[10]}]             ;# D19 / V15~IO_L13N_D10_2
409
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[10]}]
410
 
411
set_property PACKAGE_PIN W15 [get_ports {IO_D[11]}]             ;# D20 / W15~IO_L14P_D11_2
412
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[11]}]
413
 
414
set_property PACKAGE_PIN AA14 [get_ports {IO_D[12]}]            ;# D21 / AA14~IO_L15P_2
415
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[12]}]
416
 
417
set_property PACKAGE_PIN AB12 [get_ports {IO_D[13]}]            ;# D22 / AB12~IO_L30N_GCLK0_USERCCLK_2
418
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[13]}]
419
 
420
set_property PACKAGE_PIN AA10 [get_ports {IO_D[14]}]            ;# D23 / AA10~IO_L32P_GCLK29_2
421
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[14]}]
422
 
423
set_property PACKAGE_PIN T14 [get_ports {IO_D[15]}]             ;# D24 / T14~IO_L20P_2
424
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[15]}]
425
 
426
set_property PACKAGE_PIN W12 [get_ports {IO_D[16]}]             ;# D25 / W12~IO_L42P_2
427
set_property IOSTANDARD LVCMOS33 [get_ports {IO_D[16]}]
428
 
429
 
430
set_property PACKAGE_PIN C16 [get_ports {IO_E[0]}]              ;# E13 / C16~IO_L65N_SCP2_0
431
set_property IOSTANDARD LVCMOS33 [get_ports {IO_E[0]}]
432
 
433
set_property PACKAGE_PIN B16 [get_ports {IO_E[1]}]              ;# E14 / B16~IO_L63P_SCP7_0
434
set_property IOSTANDARD LVCMOS33 [get_ports {IO_E[1]}]
435
 
436
set_property PACKAGE_PIN A16 [get_ports {IO_E[2]}]              ;# E15 / A16~IO_L63N_SCP6_0
437
set_property IOSTANDARD LVCMOS33 [get_ports {IO_E[2]}]
438
 
439
set_property PACKAGE_PIN B12 [get_ports {IO_E[3]}]              ;# E16 / B12~IO_L36P_GCLK15_0
440
set_property IOSTANDARD LVCMOS33 [get_ports {IO_E[3]}]
441
 
442
set_property PACKAGE_PIN A12 [get_ports {IO_E[4]}]              ;# E17 / A12~IO_L36N_GCLK14_0
443
set_property IOSTANDARD LVCMOS33 [get_ports {IO_E[4]}]
444
 
445
set_property PACKAGE_PIN B14 [get_ports {IO_E[5]}]              ;# E18 / B14~IO_L50P_0
446
set_property IOSTANDARD LVCMOS33 [get_ports {IO_E[5]}]
447
 
448
set_property PACKAGE_PIN A14 [get_ports {IO_E[6]}]              ;# E19 / A14~IO_L50N_0
449
set_property IOSTANDARD LVCMOS33 [get_ports {IO_E[6]}]
450
 
451
set_property PACKAGE_PIN D12 [get_ports {IO_E[7]}]              ;# E20 / D12~IO_L47N_0_NC45
452
set_property IOSTANDARD LVCMOS33 [get_ports {IO_E[7]}]
453
 
454
set_property PACKAGE_PIN D13 [get_ports {IO_E[8]}]              ;# E21 / D13~IO_L47P_0_NC45
455
set_property IOSTANDARD LVCMOS33 [get_ports {IO_E[8]}]
456
 
457
set_property PACKAGE_PIN A10 [get_ports {IO_E[9]}]              ;# E22 / A10~IO_L34N_GCLK18_0
458
set_property IOSTANDARD LVCMOS33 [get_ports {IO_E[9]}]
459
 
460
set_property PACKAGE_PIN B10 [get_ports {IO_E[10]}]             ;# E23 / B10~IO_L34P_GCLK19_0
461
set_property IOSTANDARD LVCMOS33 [get_ports {IO_E[10]}]
462
 
463
set_property PACKAGE_PIN C9 [get_ports {IO_E[11]}]              ;# E24 / C9~IO_L8P_0
464
set_property IOSTANDARD LVCMOS33 [get_ports {IO_E[11]}]
465
 
466
set_property PACKAGE_PIN C7 [get_ports {IO_E[12]}]              ;# E25 / C7~IO_L5P_0
467
set_property IOSTANDARD LVCMOS33 [get_ports {IO_E[12]}]
468
 
469
set_property PACKAGE_PIN A7 [get_ports {IO_E[13]}]              ;# E26 / A7~IO_L5N_0
470
set_property IOSTANDARD LVCMOS33 [get_ports {IO_E[13]}]
471
 
472
set_property PACKAGE_PIN B6 [get_ports {IO_E[14]}]              ;# E27 / B6~IO_L4P_0
473
set_property IOSTANDARD LVCMOS33 [get_ports {IO_E[14]}]
474
 
475
 
476
set_property PACKAGE_PIN AA21 [get_ports {IO_F[0]}]             ;# F10 / AA21~IO_L63P_1
477
set_property IOSTANDARD LVCMOS33 [get_ports {IO_F[0]}]
478
 
479
set_property PACKAGE_PIN AB21 [get_ports {IO_F[1]}]             ;# F11 / AB21~IO_L61N_1
480
set_property IOSTANDARD LVCMOS33 [get_ports {IO_F[1]}]
481
 
482
set_property PACKAGE_PIN Y20 [get_ports {IO_F[2]}]              ;# F12 / Y20~IO_L67N_1
483
set_property IOSTANDARD LVCMOS33 [get_ports {IO_F[2]}]
484
 
485
set_property PACKAGE_PIN AB20 [get_ports {IO_F[3]}]             ;# F13 / AB20~IO_L65N_1
486
set_property IOSTANDARD LVCMOS33 [get_ports {IO_F[3]}]
487
 
488
set_property PACKAGE_PIN AB19 [get_ports {IO_F[4]}]             ;# F14 / AB19~IO_L65P_1
489
set_property IOSTANDARD LVCMOS33 [get_ports {IO_F[4]}]
490
 
491
set_property PACKAGE_PIN AB18 [get_ports {IO_F[5]}]             ;# F15 / AB18~IO_L2N_CMPMOSI_2
492
set_property IOSTANDARD LVCMOS33 [get_ports {IO_F[5]}]
493
 
494
set_property PACKAGE_PIN AA18 [get_ports {IO_F[6]}]             ;# F16 / AA18~IO_L2P_CMPCLK_2
495
set_property IOSTANDARD LVCMOS33 [get_ports {IO_F[6]}]
496
 
497
set_property PACKAGE_PIN AA16 [get_ports {IO_F[7]}]             ;# F17 / AA16~IO_L4P_2
498
set_property IOSTANDARD LVCMOS33 [get_ports {IO_F[7]}]
499
 
500
set_property PACKAGE_PIN AB15 [get_ports {IO_F[8]}]             ;# F18 / AB15~IO_L5N_2
501
set_property IOSTANDARD LVCMOS33 [get_ports {IO_F[8]}]
502
 
503
set_property PACKAGE_PIN W14 [get_ports {IO_F[9]}]              ;# F19 / W14~IO_L16P_2
504
set_property IOSTANDARD LVCMOS33 [get_ports {IO_F[9]}]
505
 
506
set_property PACKAGE_PIN Y16 [get_ports {IO_F[10]}]             ;# F20 / Y16~IO_L14N_D12_2
507
set_property IOSTANDARD LVCMOS33 [get_ports {IO_F[10]}]
508
 
509
set_property PACKAGE_PIN AB14 [get_ports {IO_F[11]}]            ;# F21 / AB14~IO_L15N_2
510
set_property IOSTANDARD LVCMOS33 [get_ports {IO_F[11]}]
511
 
512
set_property PACKAGE_PIN AB11 [get_ports {IO_F[12]}]            ;# F22 / AB11~IO_L31N_GCLK30_D15_2
513
set_property IOSTANDARD LVCMOS33 [get_ports {IO_F[12]}]
514
 
515
set_property PACKAGE_PIN W11 [get_ports {IO_F[13]}]             ;# F23 / W11~IO_L29P_GCLK3_2
516
set_property IOSTANDARD LVCMOS33 [get_ports {IO_F[13]}]
517
 
518
set_property PACKAGE_PIN U14 [get_ports {IO_F[14]}]             ;# F24 / U14~IO_L20N_2
519
set_property IOSTANDARD LVCMOS33 [get_ports {IO_F[14]}]
520
 
521
set_property PACKAGE_PIN Y12 [get_ports {IO_F[15]}]             ;# F25 / Y12~IO_L42N_2
522
set_property IOSTANDARD LVCMOS33 [get_ports {IO_F[15]}]
523
 
524
set_property PACKAGE_PIN Y8 [get_ports {IO_F[16]}]              ;# F27 / Y8~IO_L47N_2
525
set_property IOSTANDARD LVCMOS33 [get_ports {IO_F[16]}]
526
 
527
set_property PACKAGE_PIN AB7 [get_ports {IO_F[17]}]             ;# F28 / AB7~IO_L63N_2
528
set_property IOSTANDARD LVCMOS33 [get_ports {IO_F[17]}]
529
 
530
set_property PACKAGE_PIN Y7 [get_ports {IO_F[18]}]              ;# F29 / Y7~IO_L63P_2
531
set_property IOSTANDARD LVCMOS33 [get_ports {IO_F[18]}]
532
 
533
set_property PACKAGE_PIN AB6 [get_ports {IO_F[19]}]             ;# F30 / AB6~IO_L64N_D9_2
534
set_property IOSTANDARD LVCMOS33 [get_ports {IO_F[19]}]
535
 
536
set_property PACKAGE_PIN AA6 [get_ports {IO_F[20]}]             ;# F31 / AA6~IO_L64P_D8_2
537
set_property IOSTANDARD LVCMOS33 [get_ports {IO_F[20]}]

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.