OpenCores
URL https://opencores.org/ocsvn/usimplez/usimplez/trunk

Subversion Repositories usimplez

[/] [usimplez/] [trunk/] [QuartusII/] [usimplez.qpf] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 pas.
# -------------------------------------------------------------------------- #
2
#
3
# Copyright (C) 1991-2010 Altera Corporation
4
# Your use of Altera Corporation's design tools, logic functions
5
# and other software and tools, and its AMPP partner logic
6
# functions, and any output files from any of the foregoing
7
# (including device programming or simulation files), and any
8
# associated documentation or information are expressly subject
9
# to the terms and conditions of the Altera Program License
10
# Subscription Agreement, Altera MegaCore Function License
11
# Agreement, or other applicable license agreement, including,
12
# without limitation, that your use is for the sole purpose of
13
# programming logic devices manufactured by Altera and sold by
14
# Altera or its authorized distributors.  Please refer to the
15
# applicable agreement for further details.
16
#
17
# -------------------------------------------------------------------------- #
18
#
19
# Quartus II
20
# Version 9.1 Build 350 03/24/2010 Service Pack 2 SJ Web Edition
21 3 pas.
# Date created = 11:03:54  November 09, 2011
22 2 pas.
#
23
# -------------------------------------------------------------------------- #
24
 
25
QUARTUS_VERSION = "9.1"
26 3 pas.
DATE = "11:03:54  November 09, 2011"
27 2 pas.
 
28
# Revisions
29
 
30 3 pas.
PROJECT_REVISION = "usimplez_top"

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.