OpenCores
URL https://opencores.org/ocsvn/v586/v586/trunk

Subversion Repositories v586

[/] [v586/] [trunk/] [board_specific_files/] [esa11/] [TOP_SYS.v] - Blame information for rev 121

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 121 ultro
`define simu
2
//         
3
module TOP_SYS(
4
i_100MHz_P,
5
i_100MHz_N,
6
rstn,
7
// uart
8
TXD,RXD,
9
// DDR2
10
DDR3DQ,
11
DDR3DQS_N,
12
DDR3DQS_P,
13
DDR3ADDR,
14
DDR3BA,
15
DDR3RAS_N,
16
DDR3CAS_N,
17
DDR3WE_N,
18
DDR3CK_P,
19
DDR3CK_N,
20
DDR3CKE,
21
DDR3RST_N,
22
DDR3DM,
23
DDR3ODT,
24
// spi flash
25
sdin,sdout,sdcs,
26
// gpio it87xx
27
gpioA,
28
//gpioB,
29
// ethernet
30
//PhyMdc,
31
//PhyMdio,
32
//PhyRstn,
33
//PhyCrs,
34
//PhyRxErr,
35
//PhyRxd,
36
//PhyTxEn,
37
//PhyTxd,
38
//PhyClk50Mhz,
39
// tiny spi
40
//miso,
41
//mosi,
42
//sclk,
43
//aclInt1,
44
//aclInt2,
45
//debug,
46
VID_CLK_N,
47
VID_CLK_P,
48
VID_D_N,
49
VID_D_P
50
);
51
 
52
wire [2:0] dbg;
53
input            i_100MHz_P,i_100MHz_N;
54
input            rstn;
55
output           TXD;
56
wire      [6:0] gpio_in;
57
 
58
output [2:0] VID_D_N,VID_D_P;
59
output VID_CLK_N,VID_CLK_P;
60
 
61
inout wire [15:0]  DDR3DQ;
62
inout wire [1:0]   DDR3DQS_N;
63
inout wire [1:0]   DDR3DQS_P;
64
output wire [13:0] DDR3ADDR;
65
output wire [2:0]  DDR3BA;
66
output wire        DDR3RAS_N;
67
output wire        DDR3CAS_N;
68
output wire        DDR3WE_N;
69
output wire        DDR3CK_P;
70
output wire        DDR3CK_N;
71
output wire        DDR3CKE;
72
output wire        DDR3RST_N;
73
output wire [1:0]  DDR3DM;
74
output wire        DDR3ODT;
75
 
76
input            RXD;
77
output           sdout,sdcs;
78
input            sdin;
79
inout     [7:0]  gpioA;
80
wire     [7:0]  gpioB;
81
//input            extWAIT;
82
//output    reg    sdreset;
83
// tiny spi
84
//output mosi;
85
//input miso;
86
//output sclk;
87
//input aclInt1,aclInt2;
88
 
89
// ethernet 
90
//output PhyMdc;
91
//inout  PhyMdio;
92
wire PhyMdio_t;
93
wire PhyMdio_o;
94
wire PhyMdio_i;
95
wire int_net;
96
 
97
wire PhyRstn;
98
wire PhyCrs;
99
wire       PhyRxErr;
100
wire  [1:0] PhyRxd;
101
wire       PhyTxEn;
102
wire [1:0] PhyTxd;
103
reg PhyClk50Mhz;
104
 
105
//output reg [3:0]   debug;
106
 
107
wire [4:0] debug_int;
108
 
109
wire       rmii2mac_tx_clk;
110
wire       rmii2mac_rx_clk;
111
wire       rmii2mac_crs;
112
wire       rmii2mac_rx_dv;
113
wire [3:0] rmii2mac_rxd;
114
wire       rmii2mac_col;
115
wire       rmii2mac_rx_er;
116
wire       mac2rmii_tx_en;
117
wire [3:0] mac2rmii_txd;
118
wire       mac2rmii_tx_er;
119
 
120
// axi cpu bus
121
wire [31:0] M_AXI_AW, M_AXI_AR;
122
wire        M_AXI_AWVALID,M_AXI_ARVALID,M_AXI_WVALID,M_AXI_RREADY;
123
wire        M_AXI_AWREADY,M_AXI_ARREADY,M_AXI_WREADY,M_AXI_RVALID,M_AXI_RLAST,M_AXI_WLAST;
124
wire [31:0] M_AXI_R;
125
wire [31:0] M_AXI_W;
126
wire  [3:0] M_AXI_WSTRB;
127
wire  [1:0] M_AXI_ARBURST;
128
wire  [7:0] M_AXI_ARLEN;
129
wire  [2:0] M_AXI_ARSIZE;
130
wire  [1:0] M_AXI_AWBURST;
131
wire  [7:0] M_AXI_AWLEN;
132
wire  [2:0] M_AXI_AWSIZE;
133
 
134
// axi ram bus
135
wire [31:0] S_AXI_AW_ram, S_AXI_AR_ram;
136
wire        S_AXI_AWVALID_ram,S_AXI_ARVALID_ram,S_AXI_WVALID_ram,S_AXI_RREADY_ram;
137
wire        S_AXI_AWREADY_ram,S_AXI_ARREADY_ram,S_AXI_WREADY_ram,S_AXI_RVALID_ram,S_AXI_RLAST_ram,S_AXI_WLAST_ram;
138
wire [31:0] S_AXI_R_ram;
139
wire [31:0] S_AXI_W_ram;
140
wire  [3:0] S_AXI_WSTRB_ram;
141
wire  [1:0] S_AXI_ARBURST_ram;
142
wire  [7:0] S_AXI_ARLEN_ram;
143
wire  [2:0] S_AXI_ARSIZE_ram;
144
wire  [1:0] S_AXI_AWBURST_ram;
145
wire  [7:0] S_AXI_AWLEN_ram;
146
wire  [2:0] S_AXI_AWSIZE_ram;
147
 
148
// axi rom bus
149
wire [31:0] S_AXI_AW_rom, S_AXI_AR_rom;
150
wire        S_AXI_AWVALID_rom,S_AXI_ARVALID_rom,S_AXI_WVALID_rom,S_AXI_RREADY_rom;
151
wire        S_AXI_AWREADY_rom,S_AXI_ARREADY_rom,S_AXI_WREADY_rom,S_AXI_RVALID_rom,S_AXI_RLAST_rom,S_AXI_WLAST_rom;
152
wire [31:0] S_AXI_R_rom;
153
wire [31:0] S_AXI_W_rom;
154
wire  [3:0] S_AXI_WSTRB_rom;
155
wire  [1:0] S_AXI_ARBURST_rom;
156
wire  [7:0] S_AXI_ARLEN_rom;
157
wire  [2:0] S_AXI_ARSIZE_rom;
158
wire  [1:0] S_AXI_AWBURST_rom;
159
wire  [7:0] S_AXI_AWLEN_rom;
160
wire  [2:0] S_AXI_AWSIZE_rom;
161
 
162
// axi net bus
163
wire [31:0] S_AXI_AW_net, S_AXI_AR_net;
164
wire        S_AXI_AWVALID_net,S_AXI_ARVALID_net,S_AXI_WVALID_net,S_AXI_RREADY_net;
165
wire        S_AXI_AWREADY_net,S_AXI_ARREADY_net,S_AXI_WREADY_net,S_AXI_RVALID_net,S_AXI_RLAST_net,S_AXI_WLAST_net;
166
wire [31:0] S_AXI_R_net;
167
wire [31:0] S_AXI_W_net;
168
wire  [3:0] S_AXI_WSTRB_net;
169
wire  [1:0] S_AXI_ARBURST_net;
170
wire  [7:0] S_AXI_ARLEN_net;
171
wire  [2:0] S_AXI_ARSIZE_net;
172
wire  [1:0] S_AXI_AWBURST_net;
173
wire  [7:0] S_AXI_AWLEN_net;
174
wire  [2:0] S_AXI_AWSIZE_net;
175
 
176
// axi io bus
177
wire [31:0] M_IO_AXI_AW, M_IO_AXI_AR;
178
wire        M_IO_AXI_AWVALID,M_IO_AXI_ARVALID,M_IO_AXI_WVALID,M_IO_AXI_RREADY;
179
wire        M_IO_AXI_AWREADY,M_IO_AXI_ARREADY,M_IO_AXI_WREADY,M_IO_AXI_RVALID,M_IO_AXI_RLAST,M_IO_AXI_WLAST;
180
wire [31:0] M_IO_AXI_R;
181
wire [31:0] M_IO_AXI_W;
182
wire  [3:0] M_IO_AXI_WSTRB;
183
wire  [1:0] M_IO_AXI_ARBURST;
184
wire  [3:0] M_IO_AXI_ARLEN;
185
wire  [2:0] M_IO_AXI_ARSIZE;
186
wire  [1:0] M_IO_AXI_AWBURST;
187
wire  [7:0] M_IO_AXI_AWLEN;
188
wire  [2:0] M_IO_AXI_AWSIZE;
189
 
190
wire [15:0] extDBo,extDBt;
191
 
192
wire  [7:0] gpioA_dir,gpioB_dir,gpioA_out,gpioB_out;
193
wire [31:0] romA,romQ;
194
 
195
wire int_pic,iack;
196
wire [7:0] ivect;
197
wire        clk;
198
wire        clk300;
199
wire        dram_rst_out;
200
wire        ui_clk_sync_rst;
201
wire        init_calib_complete;
202
wire        rstn_ddr;
203
wire        locked;
204
wire        mmcm_locked;
205
wire [119:0] ddr3_ila_basic;
206
wire clk200,clk_pix;
207
 
208
assign gpio_in = 0;
209
 
210
assign dbg = {rstn_ddr,init_calib_complete,mmcm_locked};
211
 
212
freq_man ifreq_man (
213
.clk_in1_p(i_100MHz_P),
214
.clk_in1_n(i_100MHz_N),
215
.clk_out1(clk400),
216
.clk_out2(clk200),
217
.clk_out3(clk_pix),
218
.locked(locked)
219
);
220
 
221
 
222
HDMI_test ihdmi(
223
.rstn(rstn),
224
.pixclk(clk_pix),  // 25MHz
225
.TMDSp(VID_D_P),
226
.TMDSn(VID_D_N),
227
.TMDSp_clock(VID_CLK_P),
228
.TMDSn_clock(VID_CLK_N)
229
);
230
 
231
STARTUPE2 #(
232
   .PROG_USR("FALSE"),  // Activate program event security feature. Requires encrypted bitstreams.
233
   .SIM_CCLK_FREQ(0.0)  // Set the Configuration Clock Frequency(ns) for simulation.
234
)
235
STARTUPE2_inst (
236
   .CFGCLK(),       // 1-bit output: Configuration main clock output
237
   .CFGMCLK(),     // 1-bit output: Configuration internal oscillator clock output
238
   .EOS(),             // 1-bit output: Active high output signal indicating the End Of Startup.
239
   .PREQ(),           // 1-bit output: PROGRAM request to fabric output
240
   .CLK(1'b0),             // 1-bit input: User start-up clock input
241
   .GSR(1'b0),             // 1-bit input: Global Set/Reset input (GSR cannot be used for the port name)
242
   .GTS(1'b0),             // 1-bit input: Global 3-state input (GTS cannot be used for the port name)
243
   .KEYCLEARB(1'b0), // 1-bit input: Clear AES Decrypter Key input from Battery-Backed RAM (BBRAM)
244
   .PACK(1'b0),           // 1-bit input: PROGRAM acknowledge input
245
   .USRCCLKO(sdclk),   // 1-bit input: User CCLK input
246
   .USRCCLKTS(1'b0), // 1-bit input: User CCLK 3-state enable input
247
   .USRDONEO(1'b1),   // 1-bit input: User DONE pin output control
248
   .USRDONETS(1'b1)  // 1-bit input: User DONE 3-state enable output
249
);
250
 
251
//always @(posedge clk400) debug <= debug_int[3:0];
252
 
253
RSTGEN rstgen(.CLK(clk), .RST_X_I(~(~rstn | dram_rst_out)), .RST_X_O(rstn_ddr));
254
 
255
assign dram_rst_out = (ui_clk_sync_rst | ~init_calib_complete);
256
 
257
v586 v586 (
258
.m00_AXI_RSTN(rstn_ddr),.m00_AXI_CLK(clk),
259
// axi interface 32bit
260
.m00_AXI_AWADDR(M_AXI_AW), .m00_AXI_AWVALID(M_AXI_AWVALID), .m00_AXI_AWREADY(M_AXI_AWREADY),
261
.m00_AXI_AWBURST(M_AXI_AWBURST), .m00_AXI_AWLEN(M_AXI_AWLEN), .m00_AXI_AWSIZE(M_AXI_AWSIZE),
262
.m00_AXI_WDATA(M_AXI_W), .m00_AXI_WVALID(M_AXI_WVALID), .m00_AXI_WREADY(M_AXI_WREADY), .m00_AXI_WSTRB(M_AXI_WSTRB), .m00_AXI_WLAST(M_AXI_WLAST),
263
.m00_AXI_ARADDR(M_AXI_AR), .m00_AXI_ARVALID(M_AXI_ARVALID), .m00_AXI_ARREADY(M_AXI_ARREADY),
264
.m00_AXI_ARBURST(M_AXI_ARBURST), .m00_AXI_ARLEN(M_AXI_ARLEN), .m00_AXI_ARSIZE(M_AXI_ARSIZE),
265
.m00_AXI_RDATA(M_AXI_R), .m00_AXI_RVALID(M_AXI_RVALID), .m00_AXI_RREADY(M_AXI_RREADY), .m00_AXI_RLAST(M_AXI_RLAST),
266
.m00_AXI_BVALID(1'b1),.m00_AXI_BREADY(M_AXI_BREADY),
267
// axi io interface 32bit
268
.m01_AXI_AWADDR(M_IO_AXI_AW), .m01_AXI_AWVALID(M_IO_AXI_AWVALID), .m01_AXI_AWREADY(M_IO_AXI_AWREADY),
269
.m01_AXI_AWBURST(M_IO_AXI_AWBURST), .m01_AXI_AWLEN(M_IO_AXI_AWLEN), .m01_AXI_AWSIZE(M_IO_AXI_AWSIZE),
270
.m01_AXI_WDATA(M_IO_AXI_W), .m01_AXI_WVALID(M_IO_AXI_WVALID), .m01_AXI_WREADY(M_IO_AXI_WREADY), .m01_AXI_WSTRB(M_IO_AXI_WSTRB), .m01_AXI_WLAST(M_IO_AXI_WLAST),
271
.m01_AXI_ARADDR(M_IO_AXI_AR), .m01_AXI_ARVALID(M_IO_AXI_ARVALID), .m01_AXI_ARREADY(M_IO_AXI_ARREADY),
272
.m01_AXI_ARBURST(M_IO_AXI_ARBURST), .m01_AXI_ARLEN(M_IO_AXI_ARLEN), .m01_AXI_ARSIZE(M_IO_AXI_ARSIZE),
273
.m01_AXI_RDATA(M_IO_AXI_R), .m01_AXI_RVALID(M_IO_AXI_RVALID), .m01_AXI_RREADY(M_IO_AXI_RREADY), .m01_AXI_RLAST(M_IO_AXI_RLAST),
274
.m01_AXI_BVALID(1'b1),.m01_AXI_BREADY(M_IO_AXI_BREADY),
275
// interrupts
276
.int_pic(int_pic),.ivect(ivect),.iack(iack), .debug(debug_int)
277
);
278
 
279
 
280
ddr_axi i_ddr_axi (
281
   // Inouts
282
   .ddr3_dq(DDR3DQ),
283
   .ddr3_dqs_n(DDR3DQS_N),
284
   .ddr3_dqs_p(DDR3DQS_P),
285
   // Outputs
286
   .ddr3_addr(DDR3ADDR),
287
   .ddr3_ba(DDR3BA),
288
   .ddr3_ras_n(DDR3RAS_N),
289
   .ddr3_cas_n(DDR3CAS_N),
290
   .ddr3_we_n(DDR3WE_N),
291
   .ddr3_ck_p(DDR3CK_P),
292
   .ddr3_ck_n(DDR3CK_N),
293
   .ddr3_cke(DDR3CKE),
294
   .ddr3_reset_n(DDR3RST_N),
295
   .ddr3_dm(DDR3DM),
296
   .ddr3_odt(DDR3ODT),
297
 
298
   // Inputs
299
   // Single-ended system clock
300
   .sys_clk_i(clk400),
301
   .clk_ref_i(clk200),
302
   // user interface signals
303
   .ui_clk(clk),
304
   .ui_clk_sync_rst(ui_clk_sync_rst),
305
   .mmcm_locked(mmcm_locked),
306
   .aresetn(rstn),
307
   .app_sr_req(0),
308
   .app_ref_req(0),
309
   .app_zq_req(0),
310
   .app_sr_active(),
311
   .app_ref_ack(),
312
   .app_zq_ack(),
313
 
314
// AXI
315
   // AW CHANNEL
316
       .s_axi_awid(4'b00),
317
       .s_axi_awaddr(S_AXI_AW_ram),
318
       .s_axi_awlen(S_AXI_AWLEN_ram),
319
       .s_axi_awsize(S_AXI_AWSIZE_ram),
320
       .s_axi_awburst(S_AXI_AWBURST_ram),
321
       .s_axi_awlock(1'b0),
322
       .s_axi_awcache(4'h0),
323
       .s_axi_awprot(3'h0),
324
       .s_axi_awqos(4'h0),
325
       .s_axi_awvalid(S_AXI_AWVALID_ram),
326
       .s_axi_awready(S_AXI_AWREADY_ram),
327
   // W CHANNEL
328
       .s_axi_wdata(S_AXI_W_ram),
329
       .s_axi_wstrb(S_AXI_WSTRB_ram),
330
       .s_axi_wlast(S_AXI_WLAST_ram),
331
       .s_axi_wvalid(S_AXI_WVALID_ram),
332
       .s_axi_wready(S_AXI_WREADY_ram),
333
   // B CHANNEL
334
       .s_axi_bid(),
335
       .s_axi_bresp(),
336
       .s_axi_bvalid(),
337
       .s_axi_bready(1'b1),
338
   // AR CHANNEL
339
       .s_axi_arid(4'b0),
340
       .s_axi_araddr(S_AXI_AR_ram),
341
       .s_axi_arlen(S_AXI_ARLEN_ram),
342
       .s_axi_arsize(S_AXI_ARSIZE_ram),
343
       .s_axi_arburst(S_AXI_ARBURST_ram),
344
       .s_axi_arlock(1'b0),
345
       .s_axi_arcache(4'h0),
346
       .s_axi_arprot(3'h0),
347
       .s_axi_arqos(4'h0),
348
       .s_axi_arvalid(S_AXI_ARVALID_ram),
349
       .s_axi_arready(S_AXI_ARREADY_ram),
350
   // R CHANNEL
351
       .s_axi_rid(),
352
       .s_axi_rdata(S_AXI_R_ram),
353
       .s_axi_rresp(),
354
       .s_axi_rlast(S_AXI_RLAST_ram),
355
       .s_axi_rvalid(S_AXI_RVALID_ram),
356
       .s_axi_rready(S_AXI_RREADY_ram),
357
 
358
       .init_calib_complete(init_calib_complete),
359
       .sys_rst(~locked)
360
  );
361
 
362
axi_rom bootrom (
363
   .clk(clk),
364
   .rstn(rstn_ddr),
365
   .axi_ARVALID(S_AXI_ARVALID_rom),
366
   .axi_ARREADY(S_AXI_ARREADY_rom),
367
   .axi_AR(S_AXI_AR_rom),
368
   .axi_ARBURST(S_AXI_ARBURST_rom),
369
   .axi_ARLEN(S_AXI_ARLEN_rom),
370
   .axi_RLAST(S_AXI_RLAST_rom),
371
   .axi_R(S_AXI_R_rom),
372
   .axi_RVALID(S_AXI_RVALID_rom),
373
   .axi_RREADY(S_AXI_RREADY_rom)
374
   );
375
 
376
axi_ethernetlite_0 i_etherlite (
377
    .s_axi_aclk(clk),
378
    .s_axi_aresetn(rstn_ddr),
379
 
380
    .ip2intc_irpt(int_net),
381
 
382
    .s_axi_awid(4'b000),
383
    .s_axi_awaddr(S_AXI_AW_net[12:0]),
384
    .s_axi_awlen(S_AXI_AWLEN_net),
385
    .s_axi_awsize(S_AXI_AWSIZE_net),
386
    .s_axi_awburst(S_AXI_AWBURST_net),
387
    .s_axi_awcache(4'b0000),
388
    .s_axi_awvalid(S_AXI_AWVALID_net),
389
    .s_axi_awready(S_AXI_AWREADY_net),
390
    .s_axi_wdata(S_AXI_W_net),
391
    .s_axi_wstrb(S_AXI_WSTRB_net),
392
    .s_axi_wlast(S_AXI_WLAST_net),
393
    .s_axi_wvalid(S_AXI_WVALID_net),
394
    .s_axi_wready(S_AXI_WREADY_net),
395
    .s_axi_bid(),
396
    .s_axi_bresp(),
397
    .s_axi_bvalid(),
398
    .s_axi_bready(1'b1),
399
    .s_axi_arid(4'b0),
400
    .s_axi_araddr(S_AXI_AR_net[12:0]),
401
    .s_axi_arlen(S_AXI_ARLEN_net),
402
    .s_axi_arsize(S_AXI_ARSIZE_net),
403
    .s_axi_arburst(S_AXI_ARBURST_net),
404
    .s_axi_arcache(4'b0),
405
    .s_axi_arvalid(S_AXI_ARVALID_net),
406
    .s_axi_arready(S_AXI_ARREADY_net),
407
    .s_axi_rid(),
408
    .s_axi_rdata(S_AXI_R_net),
409
    .s_axi_rresp(),
410
    .s_axi_rlast(S_AXI_RLAST_net),
411
    .s_axi_rvalid(S_AXI_RVALID_net),
412
    .s_axi_rready(S_AXI_RREADY_net),
413
    // to RMII converter
414
    .phy_tx_clk(rmii2mac_tx_clk),
415
    .phy_rx_clk(rmii2mac_rx_clk),
416
    .phy_crs(rmii2mac_crs),
417
    .phy_dv(rmii2mac_rx_dv),
418
    .phy_rx_data(rmii2mac_rxd),
419
    .phy_tx_data(mac2rmii_txd),
420
    .phy_col(rmii2mac_col),
421
    .phy_rx_er(rmii2mac_rx_er),
422
    .phy_tx_en(mac2rmii_tx_en),
423
 
424
    //.phy_tx_data(PhyTxd),
425
    .phy_rst_n(PhyRstn),
426
    .phy_mdio_i(PhyMdio_i),
427
    .phy_mdio_o(PhyMdio_o),
428
    .phy_mdio_t(PhyMdio_t),
429
    .phy_mdc(PhyMdc)
430
  );
431
 
432
  IOBUF i_iobuf_mdio(
433
    .O(PhyMdio_i),
434
    .IO(PhyMdio),
435
    .I(PhyMdio_o),
436
    .T(PhyMdio_t));
437
 
438
axi_crossbar_0 i_axi_crossbar_0 (
439
  .aclk(clk),
440
  .aresetn(rstn_ddr),
441
 
442
  .m_axi_awaddr({S_AXI_AW_net,S_AXI_AW_rom,S_AXI_AW_ram}),
443
  .m_axi_awlen({S_AXI_AWLEN_net,S_AXI_AWLEN_rom,S_AXI_AWLEN_ram}),
444
  .m_axi_awsize({S_AXI_AWSIZE_net,S_AXI_AWSIZE_rom,S_AXI_AWSIZE_ram}),
445
  .m_axi_awburst({S_AXI_AWBURST_net,S_AXI_AWBURST_rom,S_AXI_AWBURST_ram}),
446
  .m_axi_awlock(),
447
  .m_axi_awcache(),
448
  .m_axi_awprot(),
449
  .m_axi_awqos(),
450
  .m_axi_awuser(),
451
  .m_axi_awvalid({S_AXI_AWVALID_net,S_AXI_AWVALID_rom,S_AXI_AWVALID_ram}),
452
  .m_axi_awready({S_AXI_AWREADY_net,1'b1,S_AXI_AWREADY_ram}),
453
 
454
  .m_axi_wdata({S_AXI_W_net,S_AXI_W_rom,S_AXI_W_ram}),
455
  .m_axi_wstrb({S_AXI_WSTRB_net,S_AXI_WSTRB_rom,S_AXI_WSTRB_ram}),
456
  .m_axi_wlast({S_AXI_WLAST_net,S_AXI_WLAST_rom,S_AXI_WLAST_ram}),
457
  .m_axi_wuser(),
458
  .m_axi_wvalid({S_AXI_WVALID_net,S_AXI_WVALID_rom,S_AXI_WVALID_ram}),
459
  .m_axi_wready({S_AXI_WREADY_net,1'b1,S_AXI_WREADY_ram}),
460
 
461
  .m_axi_bresp(0),
462
  .m_axi_buser(0),
463
  .m_axi_bvalid(3'b111),
464
  .m_axi_bready(),
465
 
466
  .m_axi_araddr({S_AXI_AR_net,S_AXI_AR_rom,S_AXI_AR_ram}),
467
  .m_axi_arlen({S_AXI_ARLEN_net,S_AXI_ARLEN_rom,S_AXI_ARLEN_ram}),
468
  .m_axi_arsize({S_AXI_ARSIZE_net,S_AXI_ARSIZE_rom,S_AXI_ARSIZE_ram}),
469
  .m_axi_arburst({S_AXI_ARBURST_net,S_AXI_ARBURST_rom,S_AXI_ARBURST_ram}),
470
  .m_axi_arlock(),
471
  .m_axi_arcache(),
472
  .m_axi_arprot(),
473
  .m_axi_arqos(),
474
  .m_axi_aruser(),
475
  .m_axi_arvalid({S_AXI_ARVALID_net,S_AXI_ARVALID_rom,S_AXI_ARVALID_ram}),
476
  .m_axi_arready({S_AXI_ARREADY_net,S_AXI_ARREADY_rom,S_AXI_ARREADY_ram}),
477
 
478
  .m_axi_rdata({S_AXI_R_net,S_AXI_R_rom,S_AXI_R_ram}),
479
  .m_axi_rresp(6'b0),
480
  .m_axi_rlast({S_AXI_RLAST_net,S_AXI_RLAST_rom,S_AXI_RLAST_ram}),
481
  .m_axi_ruser(12'b0),
482
  .m_axi_rvalid({S_AXI_RVALID_net,S_AXI_RVALID_rom,S_AXI_RVALID_ram}),
483
  .m_axi_rready({S_AXI_RREADY_net,S_AXI_RREADY_rom,S_AXI_RREADY_ram}),
484
 
485
  .s_axi_awaddr(M_AXI_AW), .s_axi_awvalid(M_AXI_AWVALID), .s_axi_awready(M_AXI_AWREADY),
486
  .s_axi_awburst(M_AXI_AWBURST), .s_axi_awlen(M_AXI_AWLEN), .s_axi_awsize(M_AXI_AWSIZE),
487
  .s_axi_wdata(M_AXI_W), .s_axi_wvalid(M_AXI_WVALID), .s_axi_wready(M_AXI_WREADY), .s_axi_wstrb(M_AXI_WSTRB), .s_axi_wlast(M_AXI_WLAST),
488
  .s_axi_araddr(M_AXI_AR), .s_axi_arvalid(M_AXI_ARVALID), .s_axi_arready(M_AXI_ARREADY),
489
  .s_axi_arburst(M_AXI_ARBURST), .s_axi_arlen(M_AXI_ARLEN), .s_axi_arsize(M_AXI_ARSIZE),
490
  .s_axi_rdata(M_AXI_R), .s_axi_rvalid(M_AXI_RVALID), .s_axi_rready(M_AXI_RREADY), .s_axi_rlast(M_AXI_RLAST),
491
  .s_axi_bvalid(),.s_axi_bready(1'b1),
492
  .s_axi_arlock(0), .s_axi_arcache(0),.s_axi_arprot(0), .s_axi_arqos(0), .s_axi_aruser(0),
493
  .s_axi_awlock(0), .s_axi_awcache(0),.s_axi_awprot(0), .s_axi_awqos(0), .s_axi_awuser(0),
494
  .s_axi_wuser(0)
495
);
496
 
497
 
498
//always @(posedge clk) if (rstn_ddr == 0) sdreset <=1; else sdreset <=0;
499
always @(posedge clk) if (rstn_ddr == 0) PhyClk50Mhz <=0; else PhyClk50Mhz <=~PhyClk50Mhz;
500
 
501
assign gpioA[0] = (gpioA_dir[0] == 0) ? 1'bz : gpioA_out[0];
502
assign gpioA[1] = (gpioA_dir[1] == 0) ? 1'bz : gpioA_out[1];
503
assign gpioA[2] = (gpioA_dir[2] == 0) ? 1'bz : gpioA_out[2];
504
assign gpioA[3] = (gpioA_dir[3] == 0) ? 1'bz : gpioA_out[3];
505
assign gpioA[4] = (gpioA_dir[4] == 0) ? 1'bz : gpioA_out[4];
506
assign gpioA[5] = (gpioA_dir[5] == 0) ? 1'bz : gpioA_out[5];
507
assign gpioA[6] = (gpioA_dir[6] == 0) ? 1'bz : gpioA_out[6];
508
assign gpioA[7] = (gpioA_dir[7] == 0) ? 1'bz : gpioA_out[7];
509
assign gpioB[0] = (gpioB_dir[0] == 0) ? 1'bz : gpioB_out[0];
510
assign gpioB[1] = (gpioB_dir[1] == 0) ? 1'bz : gpioB_out[1];
511
assign gpioB[2] = (gpioB_dir[2] == 0) ? 1'bz : gpioB_out[2];
512
assign gpioB[3] = (gpioB_dir[3] == 0) ? 1'bz : gpioB_out[3];
513
assign gpioB[4] = (gpioB_dir[4] == 0) ? 1'bz : gpioB_out[4];
514
assign gpioB[5] = (gpioB_dir[5] == 0) ? 1'bz : gpioB_out[5];
515
assign gpioB[6] = (gpioB_dir[6] == 0) ? 1'bz : gpioB_out[6];
516
assign gpioB[7] = (gpioB_dir[7] == 0) ? 1'bz : gpioB_out[7];
517
//assign sdwp = 1'b1;
518
//assign sdhld = 1'b1;
519
 
520
periph i_periph (
521
.s00_AXI_RSTN(rstn_ddr),
522
.s00_AXI_CLK(clk),
523
.cfg(gpio_in[6:0]),
524
// spi
525
.spi_mosi(sdout),
526
.spi_miso(sdin),
527
.spi_clk(sdclk),
528
.spi_cs(sdcs),
529
// tiny spi
530
.mosi(mosi),
531
.miso(miso),
532
.sclk(sclk),
533
// interrupts
534
.int_pic(int_pic),
535
.iack(iack),
536
.ivect(ivect),
537
.int_bus({aclInt2,aclInt1,int_net,1'b0}),
538
// gpio
539
.gpioA_in(gpioA),.gpioB_in(gpioB),
540
.gpioA_out(gpioA_out),.gpioB_out(gpioB_out),
541
.gpioA_dir(gpioA_dir),.gpioB_dir(gpioB_dir),
542
//uart
543
.RXD(RXD),
544
.TXD(TXD),
545
// AXI4 IO 32 BIT BUS
546
.s00_AXI_AWADDR(M_IO_AXI_AW),
547
.s00_AXI_AWVALID(M_IO_AXI_AWVALID),
548
.s00_AXI_AWREADY(M_IO_AXI_AWREADY),
549
.s00_AXI_AWBURST(M_IO_AXI_AWBURST),
550
.s00_AXI_AWLEN(M_IO_AXI_AWLEN),
551
.s00_AXI_AWSIZE(M_IO_AXI_AWSIZE),
552
.s00_AXI_ARADDR(M_IO_AXI_AR),
553
.s00_AXI_ARVALID(M_IO_AXI_ARVALID),
554
.s00_AXI_ARREADY(M_IO_AXI_ARREADY),
555
.s00_AXI_ARBURST(M_IO_AXI_ARBURST),
556
.s00_AXI_ARLEN(M_IO_AXI_ARLEN),
557
.s00_AXI_ARSIZE(M_IO_AXI_ARSIZE),
558
.s00_AXI_WDATA(M_IO_AXI_W),
559
.s00_AXI_WVALID(M_IO_AXI_WVALID),
560
.s00_AXI_WREADY(M_IO_AXI_WREADY),
561
.s00_AXI_WSTRB(M_IO_AXI_WSTRB),
562
.s00_AXI_WLAST(M_IO_AXI_WLAST),
563
.s00_AXI_RDATA(M_IO_AXI_R),
564
.s00_AXI_RVALID(M_IO_AXI_RVALID),
565
.s00_AXI_RREADY(M_IO_AXI_RREADY),
566
.s00_AXI_RLAST(M_IO_AXI_RLAST),
567
.s00_AXI_BVALID(),
568
.s00_AXI_BREADY(1'b1)
569
);
570
 
571
`ifndef simu
572
mii_to_rmii_0 mii_to_rmii_i (
573
    .rst_n(PhyRstn),
574
    .ref_clk(PhyClk50Mhz),
575
    // to/from mac
576
    .mac2rmii_tx_en(mac2rmii_tx_en),
577
    .mac2rmii_txd(mac2rmii_txd),
578
    .mac2rmii_tx_er(mac2rmii_tx_er),
579
    .rmii2mac_tx_clk(rmii2mac_tx_clk),
580
    .rmii2mac_rx_clk(rmii2mac_rx_clk),
581
    .rmii2mac_col(rmii2mac_col),
582
    .rmii2mac_crs(rmii2mac_crs),
583
    .rmii2mac_rx_dv(rmii2mac_rx_dv),
584
    .rmii2mac_rx_er(rmii2mac_rx_er),
585
    .rmii2mac_rxd(rmii2mac_rxd),
586
    // external connections
587
    .phy2rmii_crs_dv(PhyCrs),
588
    .phy2rmii_rx_er(PhyRxErr),
589
    .phy2rmii_rxd(PhyRxd),
590
    .rmii2phy_txd(PhyTxd),
591
    .rmii2phy_tx_en(PhyTxEn)
592
  );
593
`endif
594
 
595
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.