OpenCores
URL https://opencores.org/ocsvn/v586/v586/trunk

Subversion Repositories v586

[/] [v586/] [trunk/] [board_specific_files/] [esa11/] [esa11.xdc] - Blame information for rev 121

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 121 ultro
# Generated by makeXDC.ulp developed by Sven Raiser, Tuebingen, Germany
2
#
3
# Board:     Y:/__ESA11/ESA11-7a100t/PCBcart 2015_06_26/ESA11-7a100t-V1.1.brd
4
# Part Name: FPGA
5
# Part pkg:  BGA484
6
# Created:   03.01.2016 20:22:12
7
# Edited:       2016-01-03, by emu
8
 
9
set_property CFGBVS VCCO [current_design]
10
#where value1 is either VCCO or GND
11
 
12
set_property CONFIG_VOLTAGE 3.3 [current_design]
13
#where value2 is the voltage provided to configuration bank 0
14
 
15
#
16
#       System Clock, Reset
17
#
18
#set_property -dict {PACKAGE_PIN K19 IOSTANDARD LVTTL} [get_ports 50MHZ] ; will be removed on later boards
19
set_property -dict {PACKAGE_PIN H19 IOSTANDARD LVDS_25} [get_ports i_100MHz_N]
20
set_property -dict {PACKAGE_PIN J19 IOSTANDARD LVDS_25} [get_ports i_100MHz_P]
21
set_property -dict {PACKAGE_PIN W2 IOSTANDARD LVTTL} [get_ports rstn]
22
 
23
#
24
#       LEDs
25
#
26
set_property -dict {PACKAGE_PIN U1 IOSTANDARD LVTTL} [get_ports {gpioA[0]}]
27
set_property -dict {PACKAGE_PIN W1 IOSTANDARD LVTTL} [get_ports {gpioA[1]}]
28
set_property -dict {PACKAGE_PIN N13 IOSTANDARD LVTTL} [get_ports {gpioA[3]}]
29
 
30
#
31
#       UARTs
32
#
33
#set_property -dict {PACKAGE_PIN AB7 IOSTANDARD LVTTL} [get_ports UART1_CTS_N]
34
#set_property -dict {PACKAGE_PIN AB6 IOSTANDARD LVTTL} [get_ports UART1_RTS_N]
35
set_property -dict {PACKAGE_PIN AB8 IOSTANDARD LVTTL} [get_ports {RXD}]
36
set_property -dict {PACKAGE_PIN AA8 IOSTANDARD LVTTL} [get_ports {TXD}]
37
#set_property -dict {PACKAGE_PIN Y9 IOSTANDARD LVTTL} [get_ports UART2_CTS_N]
38
#set_property -dict {PACKAGE_PIN Y8 IOSTANDARD LVTTL} [get_ports UART2_RTS_N]
39
#set_property -dict {PACKAGE_PIN Y8 IOSTANDARD LVTTL} [get_ports TXD]
40
#set_property -dict {PACKAGE_PIN W9 IOSTANDARD LVTTL} [get_ports RXD]
41
#set_property -dict {PACKAGE_PIN V9 IOSTANDARD LVTTL} [get_ports {gpioA[3]}]
42
 
43
#
44
# FLASH SPI 256MBIT
45
#
46
#set_property -dict {PACKAGE_PIN L12 IOSTANDARD LVTTL} [get_ports sdclk]
47
set_property -dict {PACKAGE_PIN P22 IOSTANDARD LVTTL} [get_ports sdout]
48
set_property -dict {PACKAGE_PIN R22 IOSTANDARD LVTTL} [get_ports sdin]
49
set_property -dict {PACKAGE_PIN T19 IOSTANDARD LVTTL} [get_ports sdcs]
50
 
51
#
52
#       VGA
53
#
54
#set_property -dict {PACKAGE_PIN U17 IOSTANDARD LVTTL} [get_ports VGA_BLANK_N]
55
#set_property -dict {PACKAGE_PIN R14 IOSTANDARD LVTTL} [get_ports {VGA_BLUE[0]}]
56
#set_property -dict {PACKAGE_PIN P14 IOSTANDARD LVTTL} [get_ports {VGA_BLUE[1]}]
57
#set_property -dict {PACKAGE_PIN P15 IOSTANDARD LVTTL} [get_ports {VGA_BLUE[2]}]
58
#set_property -dict {PACKAGE_PIN R16 IOSTANDARD LVTTL} [get_ports {VGA_BLUE[3]}]
59
#set_property -dict {PACKAGE_PIN P16 IOSTANDARD LVTTL} [get_ports {VGA_BLUE[4]}]
60
#set_property -dict {PACKAGE_PIN R18 IOSTANDARD LVTTL} [get_ports {VGA_BLUE[5]}]
61
#set_property -dict {PACKAGE_PIN P17 IOSTANDARD LVTTL} [get_ports {VGA_BLUE[6]}]
62
#set_property -dict {PACKAGE_PIN N17 IOSTANDARD LVTTL} [get_ports {VGA_BLUE[7]}]
63
#set_property -dict {PACKAGE_PIN N15 IOSTANDARD LVTTL} [get_ports VGA_CLOCK_P]
64
#set_property -dict {PACKAGE_PIN Y19 IOSTANDARD LVTTL} [get_ports {VGA_GREEN[0]}]
65
#set_property -dict {PACKAGE_PIN AB20 IOSTANDARD LVTTL} [get_ports {VGA_GREEN[1]}]
66
#set_property -dict {PACKAGE_PIN V18 IOSTANDARD LVTTL} [get_ports {VGA_GREEN[2]}]
67
#set_property -dict {PACKAGE_PIN AA19 IOSTANDARD LVTTL} [get_ports {VGA_GREEN[3]}]
68
#set_property -dict {PACKAGE_PIN Y18 IOSTANDARD LVTTL} [get_ports {VGA_GREEN[4]}]
69
#set_property -dict {PACKAGE_PIN AB18 IOSTANDARD LVTTL} [get_ports {VGA_GREEN[5]}]
70
#set_property -dict {PACKAGE_PIN V17 IOSTANDARD LVTTL} [get_ports {VGA_GREEN[6]}]
71
#set_property -dict {PACKAGE_PIN AA18 IOSTANDARD LVTTL} [get_ports {VGA_GREEN[7]}]
72
#set_property -dict {PACKAGE_PIN P20 IOSTANDARD LVTTL} [get_ports VGA_HSYNC]
73
#set_property -dict {PACKAGE_PIN W22 IOSTANDARD LVTTL} [get_ports {VGA_RED[0]}]
74
#set_property -dict {PACKAGE_PIN W21 IOSTANDARD LVTTL} [get_ports {VGA_RED[1]}]
75
#set_property -dict {PACKAGE_PIN Y22 IOSTANDARD LVTTL} [get_ports {VGA_RED[2]}]
76
#set_property -dict {PACKAGE_PIN Y21 IOSTANDARD LVTTL} [get_ports {VGA_RED[3]}]
77
#set_property -dict {PACKAGE_PIN AB22 IOSTANDARD LVTTL} [get_ports {VGA_RED[4]}]
78
#set_property -dict {PACKAGE_PIN AA21 IOSTANDARD LVTTL} [get_ports {VGA_RED[5]}]
79
#set_property -dict {PACKAGE_PIN AB21 IOSTANDARD LVTTL} [get_ports {VGA_RED[6]}]
80
#set_property -dict {PACKAGE_PIN AA20 IOSTANDARD LVTTL} [get_ports {VGA_RED[7]}]
81
#set_property -dict {PACKAGE_PIN W17 IOSTANDARD LVTTL} [get_ports VGA_SYNC_N]
82
#set_property -dict {PACKAGE_PIN T20 IOSTANDARD LVTTL} [get_ports VGA_VSYNC]
83
 
84
#
85
#       PS/2
86
#
87
#set_property -dict {PACKAGE_PIN T18 IOSTANDARD LVTTL} [get_ports PS2_A_CLK]
88
#set_property -dict {PACKAGE_PIN V19 IOSTANDARD LVTTL} [get_ports PS2_A_DATA]
89
#set_property -dict {PACKAGE_PIN W19 IOSTANDARD LVTTL} [get_ports PS2_B_CLK]
90
#set_property -dict {PACKAGE_PIN U18 IOSTANDARD LVTTL} [get_ports PS2_B_DATA]
91
 
92
#
93
#       EXPMODs
94
#
95
#set_property -dict {PACKAGE_PIN T4 IOSTANDARD LVTTL} [get_ports {EXPMOD1[1]}]
96
#set_property -dict {PACKAGE_PIN Y6 IOSTANDARD LVTTL} [get_ports {EXPMOD1[2]}]
97
#set_property -dict {PACKAGE_PIN W5 IOSTANDARD LVTTL} [get_ports {EXPMOD1[3]}]
98
#set_property -dict {PACKAGE_PIN W6 IOSTANDARD LVTTL} [get_ports {EXPMOD1[4]}]
99
#set_property -dict {PACKAGE_PIN V5 IOSTANDARD LVTTL} [get_ports {EXPMOD1[5]}]
100
#set_property -dict {PACKAGE_PIN U6 IOSTANDARD LVTTL} [get_ports {EXPMOD1[6]}]
101
#set_property -dict {PACKAGE_PIN U5 IOSTANDARD LVTTL} [get_ports {EXPMOD1[7]}]
102
#set_property -dict {PACKAGE_PIN T6 IOSTANDARD LVTTL} [get_ports {EXPMOD1[8]}]
103
#set_property -dict {PACKAGE_PIN W4 IOSTANDARD LVTTL} [get_ports {EXPMOD2[1]}]
104
#set_property -dict {PACKAGE_PIN AA4 IOSTANDARD LVTTL} [get_ports {EXPMOD2[2]}]
105
#set_property -dict {PACKAGE_PIN AB3 IOSTANDARD LVTTL} [get_ports {EXPMOD2[3]}]
106
#set_property -dict {PACKAGE_PIN AB5 IOSTANDARD LVTTL} [get_ports {EXPMOD2[4]}]
107
#set_property -dict {PACKAGE_PIN AA3 IOSTANDARD LVTTL} [get_ports {EXPMOD2[5]}]
108
#set_property -dict {PACKAGE_PIN AA5 IOSTANDARD LVTTL} [get_ports {EXPMOD2[6]}]
109
#set_property -dict {PACKAGE_PIN AB2 IOSTANDARD LVTTL} [get_ports {EXPMOD2[7]}]
110
#set_property -dict {PACKAGE_PIN Y4 IOSTANDARD LVTTL} [get_ports {EXPMOD2[8]}]
111
 
112
#
113
#       SD-Flash on FPGA
114
#
115
#set_property -dict {PACKAGE_PIN H14 IOSTANDARD LVTTL} [get_ports FPGA_SD_CDET_N]
116
set_property -dict {PACKAGE_PIN C13 IOSTANDARD LVTTL} [get_ports { gpioA[6] }]
117
set_property -dict {PACKAGE_PIN C14 IOSTANDARD LVTTL} [get_ports { gpioA[7] }]
118
set_property -dict {PACKAGE_PIN D14 IOSTANDARD LVTTL} [get_ports { gpioA[5] }]
119
#set_property -dict {PACKAGE_PIN E13 IOSTANDARD LVTTL} [get_ports FPGA_SD_D2]
120
set_property -dict {PACKAGE_PIN E14 IOSTANDARD LVTTL} [get_ports { gpioA[2] }]
121
set_property -dict {PACKAGE_PIN B13 IOSTANDARD LVTTL} [get_ports { gpioA[4] }]
122
 
123
 
124
#
125
#       Digital Video
126
#
127
set_property -dict {PACKAGE_PIN U21 IOSTANDARD TMDS_33} [get_ports VID_CLK_N]
128
set_property -dict {PACKAGE_PIN T21 IOSTANDARD TMDS_33} [get_ports VID_CLK_P]
129
set_property -dict {PACKAGE_PIN R19 IOSTANDARD TMDS_33} [get_ports {VID_D_N[0]}]
130
set_property -dict {PACKAGE_PIN P19 IOSTANDARD TMDS_33} [get_ports {VID_D_P[0]}]
131
set_property -dict {PACKAGE_PIN R21 IOSTANDARD TMDS_33} [get_ports {VID_D_N[1]}]
132
set_property -dict {PACKAGE_PIN P21 IOSTANDARD TMDS_33} [get_ports {VID_D_P[1]}]
133
set_property -dict {PACKAGE_PIN V22 IOSTANDARD TMDS_33} [get_ports {VID_D_N[2]}]
134
set_property -dict {PACKAGE_PIN U22 IOSTANDARD TMDS_33} [get_ports {VID_D_P[2]}]
135
#set_property -dict {PACKAGE_PIN R17 IOSTANDARD LVTTL} [get_ports VID_RSCL]
136
#set_property -dict {PACKAGE_PIN U20 IOSTANDARD LVTTL} [get_ports VID_RSDA]
137
 
138
#
139
#       Ethernet PHY DP83848, RMII only
140
#
141
#set_property -dict {PACKAGE_PIN W12 IOSTANDARD LVTTL} [get_ports ETH1_CLK]
142
#set_property -dict {PACKAGE_PIN W10 IOSTANDARD LVTTL} [get_ports ETH1_CRS_DEV]
143
#set_property -dict {PACKAGE_PIN Y11 IOSTANDARD LVTTL} [get_ports ETH1_MDC]
144
#set_property -dict {PACKAGE_PIN W11 IOSTANDARD LVTTL} [get_ports ETH1_MDIO]
145
#set_property -dict {PACKAGE_PIN Y12 IOSTANDARD LVTTL} [get_ports ETH1_RST_N]
146
#set_property -dict {PACKAGE_PIN AA9 IOSTANDARD LVTTL} [get_ports {ETH1_RXD[0]}]
147
#set_property -dict {PACKAGE_PIN AB10 IOSTANDARD LVTTL} [get_ports {ETH1_RXD[1]}]
148
#set_property -dict {PACKAGE_PIN AB11 IOSTANDARD LVTTL} [get_ports {ETH1_TXD[0]}]
149
#set_property -dict {PACKAGE_PIN AA10 IOSTANDARD LVTTL} [get_ports {ETH1_TXD[1]}]
150
#set_property -dict {PACKAGE_PIN AA11 IOSTANDARD LVTTL} [get_ports ETH1_TX_EN]
151
 
152
#
153
#       PWM Audio
154
#
155
#set_property -dict {PACKAGE_PIN W20 IOSTANDARD LVTTL} [get_ports AUDIO_L]
156
#set_property -dict {PACKAGE_PIN V20 IOSTANDARD LVTTL} [get_ports AUDIO_R]
157
 
158
#
159
#       USB Phy USB3340
160
#
161
#set_property -dict {PACKAGE_PIN V14 IOSTANDARD LVTTL} [get_ports USB_CLK]
162
#set_property -dict {PACKAGE_PIN AB17 IOSTANDARD LVTTL} [get_ports {USB_D[0]}]
163
#set_property -dict {PACKAGE_PIN AA16 IOSTANDARD LVTTL} [get_ports {USB_D[1]}]
164
#set_property -dict {PACKAGE_PIN AB16 IOSTANDARD LVTTL} [get_ports {USB_D[2]}]
165
#set_property -dict {PACKAGE_PIN AA15 IOSTANDARD LVTTL} [get_ports {USB_D[3]}]
166
#set_property -dict {PACKAGE_PIN AB15 IOSTANDARD LVTTL} [get_ports {USB_D[4]}]
167
#set_property -dict {PACKAGE_PIN Y14 IOSTANDARD LVTTL} [get_ports {USB_D[5]}]
168
#set_property -dict {PACKAGE_PIN AA14 IOSTANDARD LVTTL} [get_ports {USB_D[6]}]
169
#set_property -dict {PACKAGE_PIN AB13 IOSTANDARD LVTTL} [get_ports {USB_D[7]}]
170
#set_property -dict {PACKAGE_PIN W14 IOSTANDARD LVTTL} [get_ports USB_DIR]
171
#set_property -dict {PACKAGE_PIN Y13 IOSTANDARD LVTTL} [get_ports USB_NXT]
172
#set_property -dict {PACKAGE_PIN AA13 IOSTANDARD LVTTL} [get_ports USB_OC]
173
#set_property -dict {PACKAGE_PIN Y17 IOSTANDARD LVTTL} [get_ports USB_RESET]
174
#set_property -dict {PACKAGE_PIN Y16 IOSTANDARD LVTTL} [get_ports USB_STP]
175
 
176
 
177
#
178
#       Atmel MCU Communication
179
#
180
#set_property -dict {PACKAGE_PIN Y1 IOSTANDARD LVTTL} [get_ports FPGA_CCLK/CONF_DCLK]
181
#set_property -dict {PACKAGE_PIN L12 IOSTANDARD LVTTL} [get_ports FPGA_CCLK_INTERNAL]
182
#set_property -dict {PACKAGE_PIN T19 IOSTANDARD LVTTL} [get_ports FPGA_CSO]
183
#set_property -dict {PACKAGE_PIN G11 IOSTANDARD LVTTL} [get_ports FPGA_DONE/CONF_DONE]
184
#set_property -dict {PACKAGE_PIN U12 IOSTANDARD LVTTL} [get_ports FPGA_INIT/CONF_NCONFIG]
185
 
186
#set_property -dict {PACKAGE_PIN AA1 IOSTANDARD LVTTL} [get_ports FPGA_MISO/CONF_DATA0]
187
#set_property -dict {PACKAGE_PIN R22 IOSTANDARD LVTTL} [get_ports FPGA_MISO_INTERNAL]
188
#set_property -dict {PACKAGE_PIN P22 IOSTANDARD LVTTL} [get_ports FPGA_MOSI]
189
#set_property -dict {PACKAGE_PIN N12 IOSTANDARD LVTTL} [get_ports FPGA_PROG/CONF_NSTATUS]
190
 
191
#set_property -dict {PACKAGE_PIN U3 IOSTANDARD LVTTL} [get_ports MCU_SD_CMD/MOSI]
192
#set_property -dict {PACKAGE_PIN V3 IOSTANDARD LVTTL} [get_ports MCU_SD_D0/MISO]
193
#set_property -dict {PACKAGE_PIN AB1 IOSTANDARD LVTTL} [get_ports MCU_SD_D3/SS1]
194
#set_property -dict {PACKAGE_PIN V2 IOSTANDARD LVTTL} [get_ports MCU_SD_SCLK//SCK]
195
 
196
#set_property -dict {PACKAGE_PIN U2 IOSTANDARD LVTTL} [get_ports SS2/FPGA]
197
#set_property -dict {PACKAGE_PIN V4 IOSTANDARD LVTTL} [get_ports SS3/OSD]
198
#set_property -dict {PACKAGE_PIN Y2 IOSTANDARD LVTTL} [get_ports SS4/SD_DIRECT]
199
 
200
#
201
#       SATA connectors
202
#
203
 
204
#set_property -dict {PACKAGE_PIN G13 IOSTANDARD LVTTL} [get_ports SATA1_RX_N]
205
#set_property -dict {PACKAGE_PIN H13 IOSTANDARD LVTTL} [get_ports SATA1_RX_P]
206
#set_property -dict {PACKAGE_PIN L15 IOSTANDARD LVTTL} [get_ports SATA1_TX_N]
207
#set_property -dict {PACKAGE_PIN L14 IOSTANDARD LVTTL} [get_ports SATA1_TX_P]
208
#set_property -dict {PACKAGE_PIN K14 IOSTANDARD LVTTL} [get_ports SATA2_RX_N]
209
#set_property -dict {PACKAGE_PIN K13 IOSTANDARD LVTTL} [get_ports SATA2_RX_P]
210
#set_property -dict {PACKAGE_PIN L13 IOSTANDARD LVTTL} [get_ports SATA2_TX_N]
211
#set_property -dict {PACKAGE_PIN M13 IOSTANDARD LVTTL} [get_ports SATA2_TX_P]
212
 
213
 
214
#
215
#       Other, never used, but ...
216
#
217
 
218
#set_property -dict {PACKAGE_PIN N3 IOSTANDARD LVTTL} [get_ports VREF_DDR]
219
#set_property -dict {PACKAGE_PIN E3 IOSTANDARD LVTTL} [get_ports VREF_DDR]
220
 
221
#set_property -dict {PACKAGE_PIN V12 IOSTANDARD LVTTL} [get_ports FPGA_TCK]
222
#set_property -dict {PACKAGE_PIN R13 IOSTANDARD LVTTL} [get_ports FPGA_TDI]
223
#set_property -dict {PACKAGE_PIN U13 IOSTANDARD LVTTL} [get_ports FPGA_TDO]
224
#set_property -dict {PACKAGE_PIN T13 IOSTANDARD LVTTL} [get_ports FPGA_TMS]
225
 
226
#set_property -dict {PACKAGE_PIN U11 IOSTANDARD LVTTL} [get_ports FPGA_M0]
227
#set_property -dict {PACKAGE_PIN U10 IOSTANDARD LVTTL} [get_ports FPGA_M1]
228
#set_property -dict {PACKAGE_PIN U9 IOSTANDARD LVTTL} [get_ports FPGA_M2]
229
 
230
 
231
#
232
# DDR
233
#
234
 
235
 
236
set_property PACKAGE_PIN A1 [get_ports {DDR3ADDR[0]}]
237
set_property PACKAGE_PIN B2 [get_ports {DDR3ADDR[1]}]
238
set_property PACKAGE_PIN D2 [get_ports {DDR3ADDR[2]}]
239
set_property PACKAGE_PIN H3 [get_ports {DDR3ADDR[3]}]
240
set_property PACKAGE_PIN G2 [get_ports {DDR3ADDR[4]}]
241
set_property PACKAGE_PIN F3 [get_ports {DDR3ADDR[5]}]
242
set_property PACKAGE_PIN H2 [get_ports {DDR3ADDR[6]}]
243
set_property PACKAGE_PIN B1 [get_ports {DDR3ADDR[7]}]
244
set_property PACKAGE_PIN E1 [get_ports {DDR3ADDR[8]}]
245
set_property PACKAGE_PIN E2 [get_ports {DDR3ADDR[9]}]
246
set_property PACKAGE_PIN H5 [get_ports {DDR3ADDR[10]}]
247
set_property PACKAGE_PIN D1 [get_ports {DDR3ADDR[11]}]
248
set_property PACKAGE_PIN J5 [get_ports {DDR3ADDR[12]}]
249
set_property PACKAGE_PIN C2 [get_ports {DDR3ADDR[13]}]
250
 
251
set_property PACKAGE_PIN F1 [get_ports {DDR3BA[0]}]
252
set_property PACKAGE_PIN J2 [get_ports {DDR3BA[1]}]
253
set_property PACKAGE_PIN G1 [get_ports {DDR3BA[2]}]
254
 
255
set_property PACKAGE_PIN G4 [get_ports DDR3CAS_N]
256
 
257
set_property PACKAGE_PIN K2 [get_ports {DDR3CKE}]
258
set_property PACKAGE_PIN J1 [get_ports {DDR3CK_N}]
259
set_property PACKAGE_PIN K1 [get_ports {DDR3CK_P}]
260
 
261
set_property PACKAGE_PIN J6 [get_ports {DDR3DM[0]}]
262
set_property PACKAGE_PIN N4 [get_ports {DDR3DM[1]}]
263
 
264
set_property PACKAGE_PIN K6 [get_ports {DDR3DQ[0]}]
265
set_property PACKAGE_PIN L5 [get_ports {DDR3DQ[1]}]
266
set_property PACKAGE_PIN L3 [get_ports {DDR3DQ[2]}]
267
set_property PACKAGE_PIN L4 [get_ports {DDR3DQ[3]}]
268
set_property PACKAGE_PIN K4 [get_ports {DDR3DQ[4]}]
269
set_property PACKAGE_PIN M2 [get_ports {DDR3DQ[5]}]
270
set_property PACKAGE_PIN J4 [get_ports {DDR3DQ[6]}]
271
set_property PACKAGE_PIN K3 [get_ports {DDR3DQ[7]}]
272
 
273
set_property PACKAGE_PIN R1 [get_ports {DDR3DQ[8]}]
274
set_property PACKAGE_PIN N5 [get_ports {DDR3DQ[9]}]
275
set_property PACKAGE_PIN P1 [get_ports {DDR3DQ[10]}]
276
set_property PACKAGE_PIN P6 [get_ports {DDR3DQ[11]}]
277
set_property PACKAGE_PIN N2 [get_ports {DDR3DQ[12]}]
278
set_property PACKAGE_PIN P2 [get_ports {DDR3DQ[13]}]
279
set_property PACKAGE_PIN M5 [get_ports {DDR3DQ[14]}]
280
set_property PACKAGE_PIN M6 [get_ports {DDR3DQ[15]}]
281
 
282
set_property PACKAGE_PIN L1 [get_ports {DDR3DQS_N[0]}]
283
set_property PACKAGE_PIN P4 [get_ports {DDR3DQS_N[1]}]
284
set_property PACKAGE_PIN M1 [get_ports {DDR3DQS_P[0]}]
285
set_property PACKAGE_PIN P5 [get_ports {DDR3DQS_P[1]}]
286
set_property PACKAGE_PIN F4 [get_ports {DDR3ODT}]
287
set_property PACKAGE_PIN H4 [get_ports DDR3RAS_N]
288
set_property PACKAGE_PIN L6 [get_ports DDR3RST_N]
289
set_property PACKAGE_PIN G3 [get_ports DDR3WE_N]
290
 
291
 
292
 
293
#
294
#       Other constraints ........................................................
295
#
296
 
297
create_clock -name {clk100}  [get_ports {i_100MHz_P}] -period {10.000}  -add
298
 
299
#eof

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.