OpenCores
URL https://opencores.org/ocsvn/v586/v586/trunk

Subversion Repositories v586

[/] [v586/] [trunk/] [board_specific_files/] [nexys4/] [TOP_SYS.ucf] - Blame information for rev 121

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 121 ultro
NET "clk100"   LOC = "E3"       | IOSTANDARD = "LVCMOS33";                                      #Bank = 35, Pin name = IO_L12P_T1_MRCC_35,                                      Sch name = CLK100MHZ
2
NET "clk100" TNM_NET = clk100_pin;
3
TIMESPEC TS_clk100_pin = PERIOD clk100_pin 100 MHz HIGH 50%;
4
 
5
NET "RXD" LOC = "C4" | IOSTANDARD = "LVCMOS33" ;
6
NET "TXD" LOC = "D4" | IOSTANDARD = "LVCMOS33" ;
7
 
8
#NET "RXD_B" LOC = "V11" | IOSTANDARD = "LVCMOS33" ;
9
#NET "TXD_B" LOC = "V15" | IOSTANDARD = "LVCMOS33" ;
10
 
11
 
12
NET "rstn" LOC = "U9" | IOSTANDARD = "LVCMOS33" ;
13
 
14
#NET "RTS"                      LOC = "D3"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_L12N_T1_MRCC_35,                                      Sch name = UART_CTS
15
#NET "CTS"                      LOC = "E5"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_L5N_T0_AD13N_35,                                      Sch name = UART_RTS
16
 
17
## This file is a general .ucf for the Nexys4 rev B board
18
## To use it in a project:
19
## - uncomment the lines corresponding to used pins
20
## - rename the used signals according to the project
21
 
22
## Clock signal
23
#NET "clk"   LOC = "E3" | IOSTANDARD = "LVCMOS33";                                      #Bank = 35, Pin name = IO_L12P_T1_MRCC_35,                                      Sch name = CLK100MHZ
24
#NET "clk" TNM_NET = sys_clk_pin;
25
#TIMESPEC TS_sys_clk_pin = PERIOD sys_clk_pin 100 MHz HIGH 50%;
26
 
27
## Switches
28
#NET "sw<0>"                       LOC = "U9"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L21P_T3_DQS_34,                                       Sch name = SW0
29
NET "gpio_in<0>"                   LOC = "U8"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_25_34,                                                        Sch name = SW1
30
NET "gpio_in<1>"                   LOC = "R7"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L23P_T3_34,                                           Sch name = SW2
31
NET "gpio_in<2>"                   LOC = "R6"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L19P_T3_34,                                           Sch name = SW3
32
NET "gpio_in<3>"                   LOC = "R5"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L19N_T3_VREF_34,                                      Sch name = SW4
33
NET "gpio_in<4>"                   LOC = "V7"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L20P_T3_34,                                           Sch name = SW5
34
NET "gpio_in<5>"                   LOC = "V6"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L20N_T3_34,                                           Sch name = SW6
35
NET "gpio_in<6>"                   LOC = "V5"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L10P_T1_34,                                           Sch name = SW7
36
#NET "gpio_in<7>"                  LOC = "U4"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L8P_T1-34,                                            Sch name = SW8
37
#NET "sw<9>"                       LOC = "V2"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L9N_T1_DQS_34,                                        Sch name = SW9
38
#NET "sw<10>"                       LOC = "U2"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L9P_T1_DQS_34,                                        Sch name = SW10
39
#NET "sw<11>"                       LOC = "T3"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L11N_T1_MRCC_34,                                      Sch name = SW11
40
#NET "sw<12>"                       LOC = "T1"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L17N_T2_34,                                           Sch name = SW12
41
#NET "sw<13>"                       LOC = "R3"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L11P_T1_SRCC_34,                                      Sch name = SW13
42
#NET "sw<14>"                       LOC = "P3"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L14N_T2_SRCC_34,                                      Sch name = SW14
43
NET "gpioA<0>"                     LOC = "P4"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L14P_T2_SRCC_34,                                      Sch name = SW15
44
 
45
## LEDs
46
#NET "dbg1"                     LOC = "T8"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L24N_T3_34,                                           Sch name = LED0
47
#NET "dbg2"                     LOC = "V9"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L21N_T3_DQS_34,                                       Sch name = LED1
48
#NET "gpioA<2>"                    LOC = "R8"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L24P_T3_34,                                           Sch name = LED2
49
NET "gpioA<3>"                     LOC = "T6"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L23N_T3_34,                                           Sch name = LED3
50
#NET "gpioA<4>"                    LOC = "T5"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L12P_T1_MRCC_34,                                      Sch name = LED4
51
NET "gpioA<5>"                     LOC = "T4"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L12N_T1_MRCC_34,                                      Sch     name = LED5
52
#NET "gpioA<6>"                    LOC = "U7"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L22P_T3_34,                                           Sch name = LED6
53
#NET "gpioA<7>"                    LOC = "U6"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L22N_T3_34,                                           Sch name = LED7
54
NET "gpioB<0>"                     LOC = "V4"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L10N_T1_34,                                           Sch name = LED8
55
NET "gpioB<1>"                     LOC = "U3"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L8N_T1_34,                                            Sch name = LED9
56
NET "gpioB<2>"                     LOC = "V1"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L7N_T1_34,                                            Sch name = LED10#NET "debug<4>"                    LOC = "R1"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L17P_T2_34,                                           Sch name = LED11
57
NET "gpioB<3>"                     LOC = "R1"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L7N_T1_34,                                            Sch name = LED10#NET "debug<4>"                    LOC = "R1"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L17P_T2_34,                                           Sch name = LED11
58
NET "gpioB<4>"                     LOC = "P5"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L13N_T2_MRCC_34,                                      Sch name = LED12
59
NET "gpioB<5>"                     LOC = "U1"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L7P_T1_34,                                            Sch name = LED13
60
NET "gpioB<6>"                     LOC = "R2"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L15N_T2_DQS_34,                                       Sch name = LED14
61
NET "gpioB<7>"                     LOC = "P2"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L15P_T2_DQS_34,                                       Sch name = LED15
62
 
63
#NET "extA<0>"                     LOC = "K5"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L5P_T0_34,                                            Sch name = LED16_R
64
#NET "RGB1_Green"               LOC = "F13"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L5P_T0_AD9P_15,                                       Sch name = LED16_G
65
#NET "RGB1_Blue"                LOC = "F6"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_L19N_T3_VREF_35,                                      Sch name = LED16_B
66
#NET "RGB2_Red"                 LOC = "K6"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_0_34,                                                         Sch name = LED17_R
67
#NET "RGB2_Green"               LOC = "H6"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_24P_T3_35,                                            Sch name =  LED17_G
68
#NET "RGB2_Blue"                LOC = "L16"     | IOSTANDARD = "LVCMOS33";              #Bank = CONFIG, Pin name = IO_L3N_T0_DQS_EMCCLK_14,                     Sch name = LED17_B
69
 
70
## 7 segment display
71
#NET "seg<0>"                      LOC = "L3"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L2N_T0_34,                                            Sch name = CA
72
#NET "seg<1>"                      LOC = "N1"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L3N_T0_DQS_34,                                        Sch name = CB
73
#NET "seg<2>"                      LOC = "L5"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L6N_T0_VREF_34,                                       Sch name = CC
74
#NET "seg<3>"                      LOC = "L4"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L5N_T0_34,                                            Sch name = CD
75
#NET "seg<4>"                      LOC = "K3"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L2P_T0_34,                                            Sch name = CE
76
#NET "seg<5>"                      LOC = "M2"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L4N_T0_34,                                            Sch name = CF
77
#NET "seg<6>"                      LOC = "L6"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L6P_T0_34,                                            Sch name = CG
78
 
79
#NET "dp"                               LOC = "M4"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L16P_T2_34,                                           Sch name = DP
80
 
81
#NET "an<0>"                       LOC = "N6"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L18N_T2_34,                                           Sch name = AN0
82
#NET "an<1>"                       LOC = "M6"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L18P_T2_34,                                           Sch name = AN1
83
#NET "an<2>"                       LOC = "M3"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L4P_T0_34,                                            Sch name = AN2
84
#NET "an<3>"                       LOC = "N5"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L13_T2_MRCC_34,                                       Sch name = AN3
85
#NET "an<4>"                       LOC = "N2"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L3P_T0_DQS_34,                                        Sch name = AN4
86
#NET "an<5>"                       LOC = "N4"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L16N_T2_34,                                           Sch name = AN5
87
#NET "an<6>"                       LOC = "L1"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L1P_T0_34,                                            Sch name = AN6
88
#NET "an<7>"                       LOC = "M1"      | IOSTANDARD = "LVCMOS33";              #Bank = 34, Pin name = IO_L1N_T034,                                                     Sch name = AN7
89
 
90
## Buttons
91
#NET "btnCpuReset"              LOC = "C12"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L3P_T0_DQS_AD1P_15,                           Sch name = CPU_RESET
92
#NET "btnC"                             LOC = "E16"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L11N_T1_SRCC_15,                                      Sch name = BTNC
93
#NET "btnU"                             LOC = "F15"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L14P_T2_SRCC_15,                                      Sch name = BTNU
94
#NET "btnL"                             LOC = "T16"     | IOSTANDARD = "LVCMOS33";              #Bank = CONFIG, Pin name = IO_L15N_T2_DQS_DOUT_CSO_B_14,        Sch name = BTNL
95
#NET "btnR"                             LOC = "R10"     | IOSTANDARD = "LVCMOS33";              #Bank = 14, Pin name = IO_25_14,                                                        Sch name = BTNR
96
#NET "btnD"                             LOC = "V10"     | IOSTANDARD = "LVCMOS33";              #Bank = 14, Pin name = IO_L21P_T3_DQS_14,                                       Sch name = BTND
97
 
98
## Pmod Header JA
99
NET "gpioA<6>"                     LOC = "B13"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L1N_T0_AD0N_15,                                       Sch name = JA1
100
NET "gpioA<4>"                     LOC = "F14"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L5N_T0_AD9N_15,                                       Sch name = JA2
101
NET "gpioA<1>"                     LOC = "D17"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L16N_T2_A27_15,                                       Sch name = JA3
102
NET "gpioA<2>"                     LOC = "E17"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L16P_T2_A28_15,                                       Sch name = JA4
103
#NET "JA<4>"                       LOC = "G13"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_0_15,                                                         Sch name = JA7
104
#NET "JA<5>"                       LOC = "C17"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L20N_T3_A19_15,                                       Sch name = JA8
105
#NET "JA<6>"                       LOC = "D18"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L21N_T3_A17_15,                                       Sch name = JA9
106
#NET "JA<7>"                       LOC = "E18"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L21P_T3_DQS_15,                                       Sch name = JA10
107
 
108
## Pmod Header JB
109
#NET "JB<0>"                       LOC = "G14"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L15N_T2_DQS_ADV_B_15,                         Sch name = JB1
110
#NET "JB<1>"                       LOC = "P15"     | IOSTANDARD = "LVCMOS33";              #Bank = 14, Pin name = IO_L13P_T2_MRCC_14,                                      Sch name = JB2
111
#NET "JB<2>"                       LOC = "V11"     | IOSTANDARD = "LVCMOS33";              #Bank = 14, Pin name = IO_L21N_T3_DQS_A06_D22_14,                       Sch name = JB3
112
#NET "JB<3>"                       LOC = "V15"     | IOSTANDARD = "LVCMOS33";              #Bank = CONFIG, Pin name = IO_L16P_T2_CSI_B_14,                         Sch name = JB4
113
#NET "JB<4>"                       LOC = "K16"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_25_15,                                                        Sch name = JB7
114
#NET "JB<5>"                       LOC = "R16"     | IOSTANDARD = "LVCMOS33";              #Bank = CONFIG, Pin name = IO_L15P_T2_DQS_RWR_B_14,                     Sch name = JB8
115
#NET "JB<6>"                       LOC = "T9"  | IOSTANDARD = "LVCMOS33";          #Bank = 14, Pin name = IO_L24P_T3_A01_D17_14,                           Sch name = JB9
116
#NET "JB<7>"                       LOC = "U11"     | IOSTANDARD = "LVCMOS33";              #Bank = 14, Pin name = IO_L19N_T3_A09_D25_VREF_14,                      Sch name = JB10
117
 
118
## Pmod Header JC
119
#NET "JC<0>"                       LOC = "K2"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_L23P_T3_35,                                           Sch name = JC1
120
#NET "JC<1>"                       LOC = "E7"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_L6P_T0_35,                                            Sch name = JC2
121
#NET "JC<2>"                       LOC = "J3"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_L22P_T3_35,                                           Sch name = JC3
122
#NET "JC<3>"                       LOC = "J4"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_L21P_T3_DQS_35,                                       Sch name = JC4
123
#NET "JC<4>"                       LOC = "K1"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_L23N_T3_35,                                           Sch name = JC7
124
#NET "JC<5>"                       LOC = "E6"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_L5P_T0_AD13P_35,                                      Sch name = JC8
125
#NET "JC<6>"                       LOC = "J2"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_L22N_T3_35,                                           Sch name = JC9
126
#NET "JC<7>"                       LOC = "G6"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_L19P_T3_35,                                           Sch name = JC10
127
 
128
## Pmod Header JD
129
#NET "JD<0>"                       LOC = "H4"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_L21N_T2_DQS_35,                                       Sch name = JD1
130
#NET "JD<1>"                       LOC = "H1"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_L17P_T2_35,                                           Sch name = JD2
131
#NET "JD<2>"                       LOC = "G1"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_L17N_T2_35,                                           Sch name = JD3
132
#NET "JD<3>"                       LOC = "G3"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_L20N_T3_35,                                           Sch name = JD4
133
#NET "JD<4>"                       LOC = "H2"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_L15P_T2_DQS_35,                                       Sch name = JD7
134
#NET "JD<5>"                       LOC = "G4"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_L20P_T3_35,                                           Sch name = JD8
135
#NET "JD<6>"                       LOC = "G2"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_L15N_T2_DQS_35,                                       Sch name = JD9
136
#NET "JD<7>"                       LOC = "F3"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_L13N_T2_MRCC_35,                                      Sch name = JD10
137
 
138
## Pmod Header JXADC
139
#NET "JXADC<0>"                    LOC = "A13"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L9P_T1_DQS_AD3P_15,                           Sch name = XADC1_P -> XA1_P
140
#NET "JXADC<1>"                    LOC = "A15"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L8P_T1_AD10P_15,                                      Sch name = XADC2_P -> XA2_P
141
#NET "JXADC<2>"                    LOC = "B16"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L7P_T1_AD2P_15,                                       Sch name = XADC3_P -> XA3_P
142
#NET "JXADC<3>"                    LOC = "B18"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L10P_T1_AD11P_15,                                     Sch name = XADC4_P -> XA4_P
143
#NET "JXADC<4>"                    LOC = "A14"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L9N_T1_DQS_AD3N_15,                           Sch name = XADC1_N -> XA1_N
144
#NET "JXADC<5>"                    LOC = "A16"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L8N_T1_AD10N_15,                                      Sch name = XADC2_N -> XA2_N
145
#NET "JXADC<6>"                    LOC = "B17"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L7N_T1_AD2N_15,                                       Sch name = XADC3_N -> XA3_N
146
#NET "JXADC<7>"                    LOC = "A18"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L10N_T1_AD11N_15,                                     Sch name = XADC4_N -> XA4_N
147
 
148
## VGA Connector
149
#NET "vgaRed<0>"           LOC = "A3"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_L8N_T1_AD14N_35,                                      Sch name = VGA_R0
150
#NET "vgaRed<1>"           LOC = "B4"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_L7N_T1_AD6N_35,                                       Sch name = VGA_R1
151
#NET "vgaRed<2>"           LOC = "C5"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_L1N_T0_AD4N_35,                                       Sch name = VGA_R2
152
#NET "vgaRed<3>"           LOC = "A4"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_L8P_T1_AD14P_35,                                      Sch name = VGA_R3
153
#NET "vgaBlue<0>"          LOC = "B7"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_L2P_T0_AD12P_35,                                      Sch name = VGA_B0
154
#NET "vgaBlue<1>"          LOC = "C7"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_L4N_T0_35,                                            Sch name = VGA_B1
155
#NET "vgaBlue<2>"          LOC = "D7"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_L6N_T0_VREF_35,                                       Sch name = VGA_B2
156
#NET "vgaBlue<3>"          LOC = "D8"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_L4P_T0_35,                                            Sch name = VGA_B3
157
#NET "vgaGreen<0>"         LOC = "C6"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_L1P_T0_AD4P_35,                                       Sch name = VGA_G0
158
#NET "vgaGreen<1>"         LOC = "A5"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_L3N_T0_DQS_AD5N_35,                           Sch name = VGA_G1
159
#NET "vgaGreen<2>"         LOC = "B6"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_L2N_T0_AD12N_35,                                      Sch name = VGA_G2
160
#NET "vgaGreen<3>"         LOC = "A6"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_L3P_T0_DQS_AD5P_35,                           Sch name = VGA_G3
161
#NET "Hsync"                    LOC = "B11"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L4P_T0_15,                                            Sch name = VGA_HS
162
#NET "Vsync"                    LOC = "B12"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L3N_T0_DQS_AD1N_15,                           Sch name = VGA_BVS
163
 
164
## Micro SD Connector
165
#NET "sdReset"                  LOC = "E2"  | IOSTANDARD = "LVCMOS33";          #Bank = 35, Pin name = IO_L14P_T2_SRCC_35,                                      Sch name = SD_RESET
166
#NET "sdCD"                             LOC = "A1"  | IOSTANDARD = "LVCMOS33";          #Bank = 35, Pin name = IO_L9N_T1_DQS_AD7N_35,                           Sch name = SD_CD
167
#NET "sdSCK"                    LOC = "B1"  | IOSTANDARD = "LVCMOS33";          #Bank = 35, Pin name = IO_L9P_T1_DQS_AD7P_35,                           Sch name = SD_SCK
168
#NET "sdCmd"                    LOC = "C1"  | IOSTANDARD = "LVCMOS33";          #Bank = 35, Pin name = IO_L16N_T2_35,                                           Sch name = SD_CMD
169
#NET "sdData<0>"           LOC = "C2"  | IOSTANDARD = "LVCMOS33";          #Bank = 35, Pin name = IO_L16P_T2_35,                                                   Sch name = SD_DAT0
170
#NET "sdData<1>"           LOC = "E1"  | IOSTANDARD = "LVCMOS33";          #Bank = 35, Pin name = IO_L18N_T2_35,                                           Sch name = SD_DAT1
171
#NET "sdData<2>"           LOC = "F1"  | IOSTANDARD = "LVCMOS33";          #Bank = 35, Pin name = IO_L18P_T2_35,                                           Sch name = SD_DAT2
172
#NET "sdData<3>"           LOC = "D2"  | IOSTANDARD = "LVCMOS33";          #Bank = 35, Pin name = IO_L14N_T2_SRCC_35,                                      Sch name = SD_DAT3
173
 
174
#NET "sdReset"          LOC = "E2"  | IOSTANDARD = "LVCMOS33";          #Bank = 35, Pin name = IO_L14P_T2_SRCC_35,                                      Sch name = SD_RESET
175
#NET "gpioA<1>"            LOC = "F1"  | IOSTANDARD = "LVCMOS33";          #Bank = 35, Pin name = IO_L18P_T2_35,                                           Sch name = SD_DAT2
176
#NET "gpioA<2>"    LOC = "E1"  | IOSTANDARD = "LVCMOS33";          #Bank = 35, Pin name = IO_L18N_T2_35,                                           Sch name = SD_DAT1
177
#NET "sdVdd"            LOC = "C1"  | IOSTANDARD = "LVCMOS33";          #Bank = 35, Pin name = IO_L16N_T2_35,                                           Sch name = SD_CMD
178
#NET "gpioA<4>"            LOC = "B1"  | IOSTANDARD = "LVCMOS33";          #Bank = 35, Pin name = IO_L9P_T1_DQS_AD7P_35,                           Sch name = SD_SCK
179
#NET "sdVss"            LOC = "D2"  | IOSTANDARD = "LVCMOS33";          #Bank = 35, Pin name = IO_L14N_T2_SRCC_35,                                      Sch name = SD_DAT3
180
#NET "gpioA<6>"            LOC = "C2"  | IOSTANDARD = "LVCMOS33";          #Bank = 35, Pin name = IO_L16P_T2_35,                                                   Sch name = SD_DAT0
181
NET "gpioA<7>"             LOC = "A1"  | IOSTANDARD = "LVCMOS33";          #Bank = 35, Pin name = IO_L9N_T1_DQS_AD7N_35,                           Sch name = SD_CD
182
 
183
 
184
 
185
## Accelerometer
186
#NET "aclMISO"                  LOC = "D13"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L6N_T0_VREF_15,                                       Sch name = ACL_MISO
187
#NET "aclMOSI"                  LOC = "B14"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L2N_T0_AD8N_15,                                       Sch name = ACL_MOSI
188
#NET "aclSCK"                   LOC = "D15"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L12P_T1_MRCC_15,                                      Sch name = ACL_SCLK
189
#NET "aclSS"                    LOC = "C15"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L12N_T1_MRCC_15,                                      Sch name = ACL_CSN
190
#NET "aclInt1"                  LOC = "C16"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L20P_T3_A20_15,                                       Sch name = ACL_INT1
191
#NET "aclInt2"                  LOC = "E15"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L11P_T1_SRCC_15,                                      Sch name = ACL_INT2
192
 
193
## Temperature Sensor
194
#NET "tmpSCL"                   LOC = "F16"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L14N_T2_SRCC_15,                                      Sch name = TMP_SCL
195
#NET "tmpSDA"                   LOC = "G16"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L13N_T2_MRCC_15,                                      Sch name = TMP_SDA
196
#NET "tmpInt"                   LOC = "D14"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L1P_T0_AD0P_15,                                       Sch name = TMP_INT
197
#NET "tmpCT"                    LOC = "C14"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L1N_T0_AD0N_15,                                       Sch name = TMP_CT
198
 
199
## Omnidirectional Microphone
200
#NET "micClk"                   LOC = "J5"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_25_35,                                                                Sch name = M_CLK
201
#NET "micData"                  LOC = "H5"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_L24N_T3_35,                                           Sch name = M_DATA
202
#NET "micLRSel"                 LOC = "F5"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_0_35,                                                         Sch name = M_LRSEL
203
 
204
## PWM Audio Amplifier
205
#NET "ampPWM"                   LOC = "A11"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L4N_T0_15,                                            Sch name = AUD_PWM
206
#NET "ampSD"                    LOC = "D12"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L6P_T0_15,                                            Sch name = AUD_SD
207
 
208
## USB-RS232 Interface
209
#NET "RsRx"                             LOC = "C4"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_L7P_T1_AD6P_35,                                       Sch name = UART_TXD_IN
210
#NET "RsTx"                             LOC = "D4"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_L11N_T1_SRCC_35,                                      Sch name = UART_RXD_OUT
211
#NET "RsCts"                    LOC = "D3"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_L12N_T1_MRCC_35,                                      Sch name = UART_CTS
212
#NET "RsRts"                    LOC = "E5"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_L5N_T0_AD13N_35,                                      Sch name = UART_RTS
213
 
214
## USB HID (PS/2)
215
#NET "PS2Clk"                   LOC = "F4"      | PULLUP | IOSTANDARD = "LVCMOS33";             #Bank = 35, Pin name = IO_L13P_T2_MRCC_35,                                      Sch name = PS2_CLK
216
#NET "PS2Data"                  LOC = "B2"      | PULLUP | IOSTANDARD = "LVCMOS33";             #Bank = 35, Pin name = IO_L10N_T1_AD15N_35,                                     Sch name = PS2_DATA
217
 
218
## SMSC Ethernet PHY
219
#NET "PhyMdc"                   LOC = "C9"      | IOSTANDARD = "LVCMOS33";              #Bank = 16, Pin name = IO_L11P_T1_SRCC_16,                                      Sch name = ETH_MDC
220
#NET "PhyMdio"                  LOC = "A9"      | IOSTANDARD = "LVCMOS33";              #Bank = 16, Pin name = IO_L14N_T2_SRCC_16,                                      Sch name = ETH_MDIO
221
#NET "PhyRstn"                  LOC = "B3"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_L10P_T1_AD15P_35,                                     Sch name = ETH_RSTN
222
#NET "PhyCrs"                   LOC = "D9"      | IOSTANDARD = "LVCMOS33";              #Bank = 16, Pin name = IO_L6N_T0_VREF_16,                                       Sch name = ETH_CRSDV
223
#NET "PhyRxErr"                 LOC = "C10"     | IOSTANDARD = "LVCMOS33";              #Bank = 16, Pin name = IO_L13N_T2_MRCC_16,                                      Sch name = ETH_RXERR
224
#NET "PhyRxd<0>"           LOC = "D10"     | IOSTANDARD = "LVCMOS33";              #Bank = 16, Pin name = IO_L19N_T3_VREF_16,                                      Sch name = ETH_RXD0
225
#NET "PhyRxd<1>"           LOC = "C11"     | IOSTANDARD = "LVCMOS33";              #Bank = 16, Pin name = IO_L13P_T2_MRCC_16,                                      Sch name = ETH_RXD1
226
#NET "PhyTxEn"                  LOC = "B9"      | IOSTANDARD = "LVCMOS33";              #Bank = 16, Pin name = IO_L11N_T1_SRCC_16,                                      Sch name = ETH_TXEN
227
#NET "PhyTxd<0>"           LOC = "A10"     | IOSTANDARD = "LVCMOS33";              #Bank = 16, Pin name = IO_L14P_T2_SRCC_16,                                      Sch name = ETH_TXD0
228
#NET "PhyTxd<1>"           LOC = "A8"      | IOSTANDARD = "LVCMOS33";              #Bank = 16, Pin name = IO_L12N_T1_MRCC_16,                                      Sch name = ETH_TXD1
229
#NET "PhyClk50Mhz"              LOC = "D5"      | IOSTANDARD = "LVCMOS33";              #Bank = 35, Pin name = IO_L11P_T1_SRCC_35,                                      Sch name = ETH_REFCLK
230
#NET "PhyIntn"                  LOC = "B8"      | IOSTANDARD = "LVCMOS33";              #Bank = 16, Pin name = IO_L12P_T1_MRCC_16,                                      Sch name = ETH_INTN
231
 
232
## Quad SPI Flash
233
#NET "sdclk"            LOC = "E9"      ;               #Bank = CONFIG, Pin name = CCLK_0,                                                      Sch name = QSPI_SCK
234
NET "sdout"             LOC = "K17"     | IOSTANDARD = "LVCMOS33";              #Bank = CONFIG, Pin name = IO_L1P_T0_D00_MOSI_14,                       Sch name = QSPI_DQ0
235
NET "sdin"              LOC = "K18"     | IOSTANDARD = "LVCMOS33";              #Bank = CONFIG, Pin name = IO_L1N_T0_D01_DIN_14,                        Sch name = QSPI_DQ1
236
NET "sdwp"              LOC = "L14"     | IOSTANDARD = "LVCMOS33";              #Bank = CONFIG, Pin name = IO_L20_T0_D02_14,                            Sch name = QSPI_DQ2
237
NET "sdhld"             LOC = "M14"     | IOSTANDARD = "LVCMOS33";              #Bank = CONFIG, Pin name = IO_L2P_T0_D03_14,                            Sch name = QSPI_DQ3
238
NET "sdcs"                      LOC = "L13"     | IOSTANDARD = "LVCMOS33";              #Bank = CONFIG, Pin name = IO_L15N_T2_DQS_DOUT_CSO_B_14,        Sch name = QSPI_CSN
239
 
240
## Cellular RAM
241
NET "extCLK"                    LOC = "T15"     | IOSTANDARD = "LVCMOS33";              #Bank = 14, Pin name = IO_L14N_T2_SRCC_14,                                      Sch name = CRAM_CLK
242
NET "extADV"                    LOC = "T13"     | IOSTANDARD = "LVCMOS33";              #Bank = 14, Pin name = IO_L23P_T3_A03_D19_14,                           Sch name = CRAM_ADVN
243
NET "extCSN"                    LOC = "L18"     | IOSTANDARD = "LVCMOS33";              #Bank = 14, Pin name = IO_L4P_T0_D04_14,                                        Sch name = CRAM_CEN
244
NET "extCRE"                    LOC = "J14"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L19P_T3_A22_15,                                       Sch name = CRAM_CRE
245
NET "extOE"                        LOC = "H14"  | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L15P_T2_DQS_15,                                       Sch name = CRAM_OEN
246
NET "extWEN"                    LOC = "R11"     | IOSTANDARD = "LVCMOS33";              #Bank = 14, Pin name = IO_0_14,                                                         Sch name = CRAM_WEN
247
NET "extLB"                        LOC = "J15"  | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L24N_T3_RS0_15,                                       Sch name = CRAM_LBN
248
NET "extUB"                        LOC = "J13"  | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L17N_T2_A25_15,                                       Sch name = CRAM_UBN
249
#NET "extWAIT"                  LOC = "T14"     | IOSTANDARD = "LVCMOS33";              #Bank = 14, Pin name = IO_L14P_T2_SRCC_14,                                      Sch name = CRAM_WAIT
250
 
251
NET "extDB<0>"                     LOC = "R12"     | IOSTANDARD = "LVCMOS33";              #Bank = 14, Pin name = IO_L5P_T0_DQ06_14,                                       Sch name = CRAM_DQ0
252
NET "extDB<1>"                     LOC = "T11"     | IOSTANDARD = "LVCMOS33";              #Bank = 14, Pin name = IO_L19P_T3_A10_D26_14,                           Sch name = CRAM_DQ1
253
NET "extDB<2>"                     LOC = "U12"     | IOSTANDARD = "LVCMOS33";              #Bank = 14, Pin name = IO_L20P_T3_A08)D24_14,                           Sch name = CRAM_DQ2
254
NET "extDB<3>"                     LOC = "R13"     | IOSTANDARD = "LVCMOS33";              #Bank = 14, Pin name = IO_L5N_T0_D07_14,                                        Sch name = CRAM_DQ3
255
NET "extDB<4>"                     LOC = "U18"     | IOSTANDARD = "LVCMOS33";              #Bank = 14, Pin name = IO_L17N_T2_A13_D29_14,                           Sch name = CRAM_DQ4
256
NET "extDB<5>"                     LOC = "R17"     | IOSTANDARD = "LVCMOS33";              #Bank = 14, Pin name = IO_L12N_T1_MRCC_14,                                      Sch name = CRAM_DQ5
257
NET "extDB<6>"                     LOC = "T18"     | IOSTANDARD = "LVCMOS33";              #Bank = 14, Pin name = IO_L7N_T1_D10_14,                                        Sch name = CRAM_DQ6
258
NET "extDB<7>"                     LOC = "R18"     | IOSTANDARD = "LVCMOS33";              #Bank = 14, Pin name = IO_L7P_T1_D09_14,                                        Sch name = CRAM_DQ7
259
NET "extDB<8>"                     LOC = "F18"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L22N_T3_A16_15,                                       Sch name = CRAM_DQ8
260
NET "extDB<9>"                     LOC = "G18"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L22P_T3_A17_15,                                       Sch name = CRAM_DQ9
261
NET "extDB<10>"             LOC = "G17"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_IO_L18N_T2_A23_15,                            Sch name = CRAM_DQ10
262
NET "extDB<11>"             LOC = "M18"     | IOSTANDARD = "LVCMOS33";              #Bank = 14, Pin name = IO_L4N_T0_D05_14,                                        Sch name = CRAM_DQ11
263
NET "extDB<12>"             LOC = "M17"     | IOSTANDARD = "LVCMOS33";              #Bank = 14, Pin name = IO_L10N_T1_D15_14,                                       Sch name = CRAM_DQ12
264
NET "extDB<13>"             LOC = "P18"     | IOSTANDARD = "LVCMOS33";              #Bank = 14, Pin name = IO_L9N_T1_DQS_D13_14,                            Sch name = CRAM_DQ13
265
NET "extDB<14>"             LOC = "N17"     | IOSTANDARD = "LVCMOS33";              #Bank = 14, Pin name = IO_L9P_T1_DQS_14,                                        Sch name = CRAM_DQ14
266
NET "extDB<15>"             LOC = "P17"     | IOSTANDARD = "LVCMOS33";              #Bank = 14, Pin name = IO_L12P_T1_MRCC_14,                                      Sch name = CRAM_DQ15
267
 
268
NET "extA<1>"              LOC = "J18"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L23N_T3_FWE_B_15,                                     Sch name = CRAM_A0
269
NET "extA<2>"              LOC = "H17"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L18P_T2_A24_15,                                       Sch name = CRAM_A1
270
NET "extA<3>"              LOC = "H15"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L19N_T3_A21_VREF_15,                          Sch name = CRAM_A2
271
NET "extA<4>"              LOC = "J17"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L23P_T3_FOE_B_15,                                     Sch name = CRAM_A3
272
NET "extA<5>"              LOC = "H16"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L13P_T2_MRCC_15,                                      Sch name = CRAM_A4
273
NET "extA<6>"              LOC = "K15"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L24P_T3_RS1_15,                                       Sch name = CRAM_A5
274
NET "extA<7>"              LOC = "K13"     | IOSTANDARD = "LVCMOS33";              #Bank = 15, Pin name = IO_L17P_T2_A26_15,                                       Sch name = CRAM_A6
275
NET "extA<8>"              LOC = "N15"     | IOSTANDARD = "LVCMOS33";              #Bank = 14, Pin name = IO_L11P_T1_SRCC_14,                                      Sch name = CRAM_A7
276
NET "extA<9>"              LOC = "V16"     | IOSTANDARD = "LVCMOS33";              #Bank = 14, Pin name = IO_L16N_T2_SRCC-14,                                      Sch name = CRAM_A8
277
NET "extA<10>"              LOC = "U14"     | IOSTANDARD = "LVCMOS33";              #Bank = 14, Pin name = IO_L22P_T3_A05_D21_14,                           Sch name = CRAM_A9
278
NET "extA<11>"              LOC = "V14"     | IOSTANDARD = "LVCMOS33";              #Bank = 14, Pin name = IO_L22N_T3_A04_D20_14,                           Sch name = CRAM_A10
279
NET "extA<12>"              LOC = "V12"     | IOSTANDARD = "LVCMOS33";              #Bank = 14, Pin name = IO_L20N_T3_A07_D23_14,                           Sch name = CRAM_A11
280
NET "extA<13>"              LOC = "P14"     | IOSTANDARD = "LVCMOS33";              #Bank = 14, Pin name = IO_L8N_T1_D12_14,                                        Sch name = CRAM_A12
281
NET "extA<14>"              LOC = "U16"     | IOSTANDARD = "LVCMOS33";              #Bank = 14, Pin name = IO_L18P_T2_A12_D28_14,                           Sch name = CRAM_A13
282
NET "extA<15>"              LOC = "R15"     | IOSTANDARD = "LVCMOS33";              #Bank = 14, Pin name = IO_L13N_T2_MRCC_14,                                      Sch name = CRAM_A14
283
NET "extA<16>"              LOC = "N14"     | IOSTANDARD = "LVCMOS33";              #Bank = 14, Pin name = IO_L8P_T1_D11_14,                                        Sch name = CRAM_A15
284
NET "extA<17>"              LOC = "N16"     | IOSTANDARD = "LVCMOS33";              #Bank = 14, Pin name = IO_L11N_T1_SRCC_14,                                      Sch name = CRAM_A16
285
NET "extA<18>"              LOC = "M13"     | IOSTANDARD = "LVCMOS33";              #Bank = 14, Pin name = IO_L6N_T0_D08_VREF_14,                           Sch name = CRAM_A17
286
NET "extA<19>"              LOC = "V17"     | IOSTANDARD = "LVCMOS33";              #Bank = 14, Pin name = IO_L18N_T2_A11_D27_14,                           Sch name = CRAM_A18
287
NET "extA<20>"              LOC = "U17"     | IOSTANDARD = "LVCMOS33";              #Bank = 14, Pin name = IO_L17P_T2_A14_D30_14,                           Sch name = CRAM_A19
288
NET "extA<21>"              LOC = "T10"     | IOSTANDARD = "LVCMOS33";              #Bank = 14, Pin name = IO_L24N_T3_A00_D16_14,                           Sch name = CRAM_A20
289
NET "extA<22>"              LOC = "M16"     | IOSTANDARD = "LVCMOS33";              #Bank = 14, Pin name = IO_L10P_T1_D14_14,                                       Sch name = CRAM_A21
290
NET "extA<23>"              LOC = "U13"     | IOSTANDARD = "LVCMOS33";              #Bank = 14, Pin name = IO_L23N_T3_A02_D18_14,                           Sch name = CRAM_A22

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.