OpenCores
URL https://opencores.org/ocsvn/versatile_fifo/versatile_fifo/trunk

Subversion Repositories versatile_fifo

[/] [versatile_fifo/] [trunk/] [rtl/] [verilog/] [versatile_fifo_dual_port_ram_dc_dw.v] - Blame information for rev 17

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 unneback
module vfifo_dual_port_ram_dc_dw
2 4 unneback
  (
3
   d_a,
4
   q_a,
5
   adr_a,
6
   we_a,
7
   clk_a,
8
   q_b,
9
   adr_b,
10
   d_b,
11
   we_b,
12
   clk_b
13
   );
14 17 unneback
   parameter DATA_WIDTH = `DATA_WIDTH;
15
   parameter ADDR_WIDTH = `ADDR_WIDTH;
16 4 unneback
   input [(DATA_WIDTH-1):0]      d_a;
17
   input [(ADDR_WIDTH-1):0]       adr_a;
18
   input [(ADDR_WIDTH-1):0]       adr_b;
19
   input                         we_a;
20
   output [(DATA_WIDTH-1):0]      q_b;
21
   input [(DATA_WIDTH-1):0]       d_b;
22
   output reg [(DATA_WIDTH-1):0] q_a;
23
   input                         we_b;
24
   input                         clk_a, clk_b;
25
   reg [(DATA_WIDTH-1):0]         q_b;
26 17 unneback
   reg [DATA_WIDTH-1:0] ram [(1<<ADDR_WIDTH)-1:0] ;
27 4 unneback
   always @ (posedge clk_a)
28
     begin
29 15 unneback
        q_a <= ram[adr_a];
30 4 unneback
        if (we_a)
31
             ram[adr_a] <= d_a;
32
     end
33
   always @ (posedge clk_b)
34
     begin
35 15 unneback
          q_b <= ram[adr_b];
36 4 unneback
        if (we_b)
37 15 unneback
          ram[adr_b] <= d_b;
38 4 unneback
     end
39
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.