OpenCores
URL https://opencores.org/ocsvn/versatile_fifo/versatile_fifo/trunk

Subversion Repositories versatile_fifo

[/] [versatile_fifo/] [trunk/] [rtl/] [verilog/] [versatile_fifo_dual_port_ram_sc_dw.v] - Blame information for rev 17

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 unneback
module vfifo_dual_port_ram_sc_dw
2 4 unneback
  (
3
   d_a,
4
   q_a,
5
   adr_a,
6
   we_a,
7
   q_b,
8
   adr_b,
9
   d_b,
10
   we_b,
11
   clk
12
   );
13 17 unneback
   parameter DATA_WIDTH = `DATA_WIDTH;
14
   parameter ADDR_WIDTH = `ADDR_WIDTH;
15 4 unneback
   input [(DATA_WIDTH-1):0]      d_a;
16
   input [(ADDR_WIDTH-1):0]       adr_a;
17
   input [(ADDR_WIDTH-1):0]       adr_b;
18
   input                         we_a;
19
   output [(DATA_WIDTH-1):0]      q_b;
20
   input [(DATA_WIDTH-1):0]       d_b;
21
   output reg [(DATA_WIDTH-1):0] q_a;
22
   input                         we_b;
23
   input                         clk;
24
   reg [(DATA_WIDTH-1):0]         q_b;
25 17 unneback
   reg [DATA_WIDTH-1:0] ram [(1<<ADDR_WIDTH)-1:0] ;
26 4 unneback
   always @ (posedge clk)
27
     begin
28 15 unneback
        q_a <= ram[adr_a];
29 4 unneback
        if (we_a)
30
             ram[adr_a] <= d_a;
31
     end
32
   always @ (posedge clk)
33
     begin
34 15 unneback
          q_b <= ram[adr_b];
35 4 unneback
        if (we_b)
36 15 unneback
          ram[adr_b] <= d_b;
37 4 unneback
     end
38
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.