OpenCores
URL https://opencores.org/ocsvn/vhdl-pipeline-mips/vhdl-pipeline-mips/trunk

Subversion Repositories vhdl-pipeline-mips

[/] [vhdl-pipeline-mips/] [trunk/] [shared_components/] [segm_mips_const_pkg.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 elujan
--
2
-- Este paquete contiene las constantes que se utilizan en el procesador MIPS Segmentado
3
--
4
-- Licencia: Copyright 2008 Emmanuel Luján
5
--
6
--      This program is free software; you can redistribute it and/or
7
--      modify it under the terms of the GNU General Public License as
8
--      published by the Free Software Foundation; either version 2 of
9
--      the License, or (at your option) any later version. This program
10
--      is distributed in the hope that it will be useful, but WITHOUT
11
--      ANY WARRANTY; without even the implied warranty of MERCHANTABILITY
12
--      or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public
13
--      License for more details. You should have received a copy of the
14
--      GNU General Public License along with this program; if not, write
15
--      to the Free Software Foundation, Inc., 51 Franklin St, Fifth Floor,
16
--      Boston, MA 02110-1301 USA.
17
-- 
18
-- Autor:       Emmanuel Luján
19
-- Email:       info@emmanuellujan.com.ar
20
-- Versión:    1.0
21
--
22
 
23
library ieee;
24
use ieee.std_logic_1164.all;
25
use ieee.numeric_std.all;
26
 
27
package SEGM_MIPS_CONST_PKG is
28
 
29
        --Constantes
30
 
31
        constant INST_SIZE      : INTEGER := 32;                -- Tamaño de una instrucción en bits
32
        constant ADDR_SIZE      : INTEGER := 5;                 -- Tamaño de una dirección
33
        constant NUM_REG        : INTEGER := 32;                -- Cantidad de registros en el banco de registros
34
        --constant NUM_ADDR     : INTEGER := 1073741824;        -- Cantidad de direcciones de la memoria, cada una de N bits (2 exp 30)
35
        constant NUM_ADDR       : INTEGER := 1024;              -- Cantidad de direcciones de la memoria, cada una de N bits (reducida)
36
 
37
        constant PC_COUNT       : STD_LOGIC_VECTOR(31 downto 0) :=  "00000000000000000000000000000100";  --De a cuanto suma el PC (de a 4 bits)
38
 
39
        constant ZERO32b        : STD_LOGIC_VECTOR(31 downto 0) :=  "00000000000000000000000000000000";
40
        constant ZERO16b        : STD_LOGIC_VECTOR(15 downto 0) :=  "0000000000000000";
41
        constant ONE32b         : STD_LOGIC_VECTOR(31 downto 0) :=  "11111111111111111111111111111111";
42
        constant ONE16b         : STD_LOGIC_VECTOR(15 downto 0) :=  "1111111111111111";
43
 
44
end SEGM_MIPS_CONST_PKG;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.